搜索
bottom↓
回复: 4

modelsim仿真时clk出现 Hiz,这是什么意思?(真相如图)

[复制链接]

出0入0汤圆

发表于 2012-9-28 20:53:51 | 显示全部楼层 |阅读模式
如题

testbench文件如下:

`timescale 1 ns/ 1 ns
module BintoBCD_vlg_tst();

        reg clk;
        reg [7:0] bin_in;
                                                                                                                                 
        wire [3:0]dec_out0;
        wire [3:0]dec_out1;
        wire [3:0]dec_out2;

        /****************************/
       
        BintoBCD i1
        (  
                .clk( clk ),
                .bin_in( bin_in ),
               
                .dec_out0( dec_out0 ),
                .dec_out1( dec_out1 ),
                .dec_out2( dec_out2 )
        );
               
        /****************************/
          
        initial                                                
                begin                                                  
                        clk = 0;
                        bin_in = 8'd125;
                end
               
        always #10 clk = ~clk;
                                                          
endmodule

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2012-9-28 21:27:55 | 显示全部楼层
顶起来!   

出0入0汤圆

 楼主| 发表于 2012-9-28 21:28:22 | 显示全部楼层
高手在人间!

出0入0汤圆

 楼主| 发表于 2012-9-29 11:52:39 | 显示全部楼层
问题已解决~  工程建的有问题,重新建工程就行了!

出0入0汤圆

 楼主| 发表于 2012-9-29 11:54:05 | 显示全部楼层
附上算法,网上下的!

module BintoBCD
(
        input clk,
        input[7:0] bin_in,                                                //输入8bit Bin码
       
        output reg[3:0]dec_out0 = 4'h0,                //输出3bit BCD码
        output reg[3:0]dec_out1 = 4'h0,
        output reg[3:0]dec_out2 = 4'h0
);

        /***********************************************/
       
        wire [2:0]c_in;
        wire [2:0]c_out;
       
        reg  [7:0]bin_sreg;       
        reg  [3:0]bit_cnt = 4'h0;
       
        reg  [3:0]dec_sreg0 = 4'h0;
        reg  [3:0]dec_sreg1 = 4'h0;
        reg  [3:0]dec_sreg2 = 4'h0;
       
        wire [3:0]next_sreg0, next_sreg1, next_sreg2;
       
        wire load = ~|bit_cnt;                                                                //读入二进制数据,准备转换
       
        wire convert_ready = ( bit_cnt == 4'h9 );                //转换成功
       
        wire convert_end = ( bit_cnt == 4'ha );                //完毕,重新开始

        /***********************************************/
       
        always @ ( posedge clk )
                begin
                        if( convert_end )
                                bit_cnt <= 4'h0;
                        else
                                bit_cnt <= bit_cnt+4'h1;
                end
               
        /***********************************************/
       
        always @ ( posedge clk )
                begin
                        if( load )
                                bin_sreg <= bin_in;
                        else
                                bin_sreg <= { bin_sreg[6:0], 1'b0 };
                end
               
        /***********************************************/

        assign c_in[0]  = bin_sreg[7];
        assign c_in[1]  = ( dec_sreg0 >= 5 );
        assign c_in[2]  = ( dec_sreg1 >= 5 );
       
        assign c_out[0] = c_in[1];       
        assign c_out[1] = c_in[2];
        assign c_out[2] = ( dec_sreg2 >= 5 );
       
        /******************确定移位输出******************/

        assign next_sreg0 = c_out[0] ? ( { dec_sreg0[2:0], c_in[0] } + 4'h6 )
                                                                                  : ( { dec_sreg0[2:0], c_in[0] } );
                                                                                  
        assign next_sreg1 = c_out[1] ? ( { dec_sreg1[2:0], c_in[1] } + 4'h6 )
                                                                                  : ( { dec_sreg1[2:0], c_in[1] } );
                                                                                  
        assign next_sreg2 = c_out[2] ? ( { dec_sreg2[2:0], c_in[2] } + 4'h6 )
                                                                                  : ( { dec_sreg2[2:0], c_in[2] } );
                                                                                  
        /*******************装入数据********************/
       
        always @ (posedge clk )
                if( load )
                        begin
                                dec_sreg0 <= 4'h0;
                                dec_sreg1 <= 4'h0;
                                dec_sreg2 <= 4'h0;
                        end
                else
                        begin
                                dec_sreg0 <= next_sreg0;
                                dec_sreg1 <= next_sreg1;
                                dec_sreg2 <= next_sreg2;
                        end
                                                                                         
        /*********************数据输出******************/
       
        always @ ( posedge clk )
        if( convert_ready )
                begin
                        dec_out0 <= dec_sreg0;
                        dec_out1 <= dec_sreg1;
                        dec_out2 <= dec_sreg2;
                end

        /***********************************************/
               
endmodule       
       
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表