搜索
bottom↓
回复: 5

VHDL 使用 WAIT UNTIL 问题?

[复制链接]

出0入0汤圆

发表于 2012-9-16 14:27:13 | 显示全部楼层 |阅读模式
本帖最后由 sky5566 于 2012-9-16 14:52 编辑

CPLD 这样编辑正常,但是 FPGA 却错误?为什么?                              
WAIT UNTIL (LEDA'EVENT  AND LEDA = '1') OR (LED_B'EVENT AND LED_B = '1');

只能判读一个吗?
WAIT UNTIL (LED_B'EVENT AND LED_B = '1');   

原为Verilog要怎么改成 VHDL?
always @(posedge LEDA  or posedge LED_B)              

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-9-16 14:35:11 | 显示全部楼层
你貌似需要加个大括号,后面只有一条判定,但是你可以扩充成多条判定。。。。。。。。
话说 我觉得 咱们板块 是不是需要增加一个 VHDL语言研究子块? 为什么这么说呢?FPGA的语言不同于别的芯片,因为是硬件描述语言,所以每条语句需要很精确的表达出它的意思,而且VHDL语言有很多的版本,使用条件非常复杂,一般人不好理解。所以需要有一个专门的板块来进行细致的语句应用研究。我觉得是这样。。

出0入0汤圆

 楼主| 发表于 2012-9-16 14:39:21 | 显示全部楼层
magicer2010 发表于 2012-9-16 14:35
你貌似需要加个大括号,后面只有一条判定,但是你可以扩充成多条判定。。。。。。。。
话说 我觉得 咱们板 ...

不行,依然错误...

原为Verilog要怎么改成 VHDL?
always @(posedge LEDA  or posedge LED_B)   

出0入0汤圆

发表于 2012-9-16 15:03:53 | 显示全部楼层
本帖最后由 magicer2010 于 2012-9-16 15:06 编辑
sky5566 发表于 2012-9-16 14:39
不行,依然错误...

原为Verilog要怎么改成 VHDL?


什么错误,把错误提示发上来。。。。。。。。。。。。


还有就是你把那个or 用| 这类的符号替换试试,你那两个括号生成的是逻辑数值,貌似是需要用这个符号  |           的

出0入0汤圆

 楼主| 发表于 2012-9-16 16:02:58 | 显示全部楼层
问题已经解决,以后再也不敢使用 X-HDL3 翻译Verilog
原因是不可能同时判读 2 个前缘讯号
WAIT UNTIL 或是 WAIT ON 很少人使用,还是使用标准的 VHDL 架构问题比较少.

出0入0汤圆

发表于 2012-9-24 21:14:08 | 显示全部楼层
sky5566 发表于 2012-9-16 16:02
问题已经解决,以后再也不敢使用 X-HDL3 翻译Verilog
原因是不可能同时判读 2 个前缘讯号
WAIT UNTIL 或是 W ...

意思是说一条vhdl语句同时只能描述一个信号么?要描述N个信号至少需要N条是么?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:30

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表