搜索
bottom↓
回复: 21

avr的io输出问题

[复制链接]

出0入0汤圆

发表于 2012-9-7 23:51:52 | 显示全部楼层 |阅读模式
小弟大二新学avr...发现同一组的io不能同时输出简单的高电平和电平...
下面是我写的测试程序...大家看下程序有什么问题没有...
#include<iom16v.h>
#include<macros.h>
void main()
{ DDRD=0XFF;
  while(1)
  {
   PORTD=0XA0;
  }
}
谢谢大家...

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-9-7 23:54:20 | 显示全部楼层
发现同一组的io不能同时输出简单的高电平和电平...


but they can 同时输出复杂的高电平和电平...

大家看下程序有什么问题没有...


without knowing what you are trying to do, no one can answer such an idiotic question.

出0入0汤圆

发表于 2012-9-8 08:10:50 | 显示全部楼层
这个应该是没问题的吧!

出0入0汤圆

发表于 2012-9-8 09:10:58 | 显示全部楼层
程序没有问题,我仿真了依然没有问题

出0入0汤圆

发表于 2012-9-8 09:24:46 | 显示全部楼层
多检查一下
编译器里选择器件了吗?
HEX烧写进单片机了吗?
是烧的这个工程的HEX吗?

出50入0汤圆

发表于 2012-9-8 09:27:27 | 显示全部楼层
做实验你可以把
PORTD=0XA0;
放到
DDRD=0XFF;这句后面
让IO有确定状态后转入 WHILE(1)死循环

出0入96汤圆

发表于 2012-9-8 09:56:47 | 显示全部楼层
你在板子上仿真还是?
检查一下外围电路有没有问题!

出0入0汤圆

发表于 2012-9-8 09:57:30 | 显示全部楼层
你试试  其他状态  看看IO口是否发生改变!!

出0入0汤圆

 楼主| 发表于 2012-9-8 14:38:35 | 显示全部楼层
gallle 发表于 2012-9-8 09:56
你在板子上仿真还是?
检查一下外围电路有没有问题!

我是在实验板上搞的...电路没问题呀...用万用表测就是输出不了....

出0入0汤圆

 楼主| 发表于 2012-9-8 14:39:09 | 显示全部楼层
god-father 发表于 2012-9-8 09:27
做实验你可以把
PORTD=0XA0;
放到

试过了的...还是不行...

出0入0汤圆

 楼主| 发表于 2012-9-8 14:41:22 | 显示全部楼层
lcw_swust 发表于 2012-9-8 09:24
多检查一下
编译器里选择器件了吗?
HEX烧写进单片机了吗?

都是对的啊...真心不知道怎么了....

出0入0汤圆

 楼主| 发表于 2012-9-8 14:51:35 | 显示全部楼层
millwood0 发表于 2012-9-7 23:54
but they can 同时输出复杂的高电平和电平...

I just want use avr to output a high level....
This is just test program...
原本是想做个趋光小车...用avr上的自带ad...我把PD口设置成0XA0...然后用万用表测的时候就发现没有现象了....程序如上...硬件也没有问题...我也觉得这个问题好BC...但是就是解决不到...

出0入0汤圆

发表于 2012-9-8 14:59:41 | 显示全部楼层
本帖最后由 eblc1388 于 2012-9-8 15:04 编辑

作为工程人员,PORTD 不行怎不试一下其它的 PORT,看看结果如何?

还有老兄,什麽是【用万用表测的时候就发现没有现象了】?输出全高,全低,有高有低但不是0xA0?

出0入0汤圆

 楼主| 发表于 2012-9-8 15:23:30 | 显示全部楼层
eblc1388 发表于 2012-9-8 14:59
作为工程人员,PORTD 不行怎不试一下其它的 PORT,看看结果如何?

还有老兄,什麽是【用万用表测的时候就 ...

全试过了....都是输出的低电平....

出0入0汤圆

发表于 2012-9-8 15:37:02 | 显示全部楼层
PORTD 有其他功能吧,我记得看过一本书写着,要先改什么寄存器,才能让D口变成正常的IO输出功能,你查查相关资料

出0入0汤圆

发表于 2012-9-8 15:39:50 | 显示全部楼层
我那书这周没带,在宿舍呢,你先试试PORTA PORTB PORRTC是不是正常 要是就D有问题,那估计就是要改相关D口的寄存器

出0入0汤圆

发表于 2012-9-8 15:45:28 | 显示全部楼层
对了 还有熔丝位你设置对了么

出0入0汤圆

 楼主| 发表于 2012-9-8 16:54:31 | 显示全部楼层
lzzoo 发表于 2012-9-8 15:45
对了 还有熔丝位你设置对了么

最后发现了...是那个板子上画的IO口的序号有问题...画的和实际的不一样....谢谢大家了...

出0入0汤圆

 楼主| 发表于 2012-9-8 16:54:45 | 显示全部楼层
eblc1388 发表于 2012-9-8 14:59
作为工程人员,PORTD 不行怎不试一下其它的 PORT,看看结果如何?

还有老兄,什麽是【用万用表测的时候就 ...

最后发现了...是那个板子上画的IO口的序号有问题...画的和实际的不一样....谢谢大家了...

出0入0汤圆

 楼主| 发表于 2012-9-8 16:55:05 | 显示全部楼层
gallle 发表于 2012-9-8 09:56
你在板子上仿真还是?
检查一下外围电路有没有问题!

最后发现了...是那个板子上画的IO口的序号有问题...画的和实际的不一样....谢谢大家了...

出0入0汤圆

 楼主| 发表于 2012-9-8 16:55:39 | 显示全部楼层
god-father 发表于 2012-9-8 09:27
做实验你可以把
PORTD=0XA0;
放到

最后发现了...是那个板子上画的IO口的序号有问题...画的和实际的不一样....谢谢大家了...

出0入0汤圆

 楼主| 发表于 2012-9-8 16:56:41 | 显示全部楼层
millwood0 发表于 2012-9-7 23:54
but they can 同时输出复杂的高电平和电平...

是那个板子上画的IO口的序号有问题...画的和实际的不一样...还是谢谢你了...
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表