搜索
bottom↓
回复: 5

一串的数据流有什么方法可以简单实现?

[复制链接]

出0入0汤圆

发表于 2012-5-27 11:32:19 | 显示全部楼层 |阅读模式
比如always@(posedge clk)
begin

                                x1        <=        x ;
                x2        <=        x1 ;
                x3        <=        x2 ;
                x4        <=        x3 ;
                x5        <=        x4 ;
                x6        <=        x5 ;
                x7        <=        x6 ;
                x8        <=        x7 ;
  ......
               
               
end
假如我的数据是X,X1,X2,X3,X4,X5.......XN,我不想一个一个的这样输,有没好办法呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-5-27 12:39:56 | 显示全部楼层
本帖最后由 NJ8888 于 2012-5-27 12:41 编辑

VHDL这样写,x:buffer  std_logic_vector(255 downto 0)
x(255 downto 1)<=x(254 downto 0);这是个SHIFTER,在时钟作用下移位。verilog我能看懂部分代码,不会写(幼儿园水平)

出0入0汤圆

发表于 2012-5-27 13:49:48 | 显示全部楼层
    wire/register wA[0:N-1];

    genvar ShiftCount;
    generate for(ShiftCount=1;ShiftCount<N;ShiftCount=ShiftCount+1)
        begin
............................
        end
    endgenerate

出0入0汤圆

发表于 2012-5-27 13:50:24 | 显示全部楼层
还有其他实现

出0入0汤圆

 楼主| 发表于 2012-5-27 14:32:57 | 显示全部楼层
xivisi 发表于 2012-5-27 13:49
wire/register wA[0:N-1];

    genvar ShiftCount;

还需要定义寄存器数组吗?

出0入0汤圆

发表于 2012-5-27 14:41:03 | 显示全部楼层
bad_fpga 发表于 2012-5-27 14:32
还需要定义寄存器数组吗?

你的X是什么数组就是什么什么数组
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表