搜索
bottom↓
回复: 5

关于PLL分频的问题

[复制链接]

出0入0汤圆

发表于 2012-4-25 15:27:50 | 显示全部楼层 |阅读模式
新手初学FPGA,有些问题不太清楚。现在FPGA外部时钟为50MHZ晶振,但是内部程序我只需要250KHZ,请问有没有什么方法可以直接在quartus2软件里设置PLL将这个时钟分频来使用而不用自己写分频程序呢?
谢谢!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-4-25 16:02:33 | 显示全部楼层
既然是刚接触FPGA,就先别 用那些高级的工具,了解就行,分频程序不是很简单嘛,自己写就行,。

出0入0汤圆

发表于 2012-4-25 16:11:48 | 显示全部楼层
写一个计数器0-99,溢出时翻转输出信号

出0入0汤圆

 楼主| 发表于 2012-4-25 21:19:05 | 显示全部楼层
usingavr 发表于 2012-4-25 16:11
写一个计数器0-99,溢出时翻转输出信号

嗯,我是想直接用个分频器IP,可能比自己写的方便一点

出0入0汤圆

发表于 2012-4-25 21:31:43 | 显示全部楼层
一个VHDL例程:
tool-_>megawizard plug-->next-->选VHDL next——>megafuction 里选I/O里的ALTPLL,又边的outfile里填上你需要输出的文件名 点NEXT进入设置界面。配置后生产VHDL文件即可。

出0入0汤圆

发表于 2012-4-25 21:36:14 | 显示全部楼层
本帖最后由 lastfool 于 2012-4-25 21:42 编辑

元件例化和调用调用:比如生成PLL1.VHD,如下

LIBRARY ieee;
USE ieee.std_logic_1164.all;

LIBRARY altera_mf;
USE altera_mf.all;

ENTITY PLL1 IS
        PORT
        (
                inclk0                : IN STD_LOGIC  := '0';
                c0                : OUT STD_LOGIC ;
                c1                : OUT STD_LOGIC ;
                c2                : OUT STD_LOGIC ;
                c3                : OUT STD_LOGIC ;
                c4                : OUT STD_LOGIC ;
                locked                : OUT STD_LOGIC
        );
END PLL1;

则在另一个VHDL文件里可以这样使用它:
--例化
component PLL1 IS
        PORT
        (
                inclk0                : IN STD_LOGIC  := '0';
                c0                : OUT STD_LOGIC ;
                c1                : OUT STD_LOGIC ;
                c2                : OUT STD_LOGIC ;
                c3                : OUT STD_LOGIC ;
                c4                : OUT STD_LOGIC ;
                locked                : OUT STD_LOGIC
        );
END component;
--调用元件:

PLL_COMP: PLL1
port map
        (
                inclk0         =>OSC_IN,       
                c0         =>clk_50M,
                c1  =>clk_100M,
        --        c1  =>clk_100M,
                c2  =>clk_150M,
                c3  =>clk_200M,
                c4  =>clk_20M,
                locked   =>PLL_LOCK
    );

如果不明白,自己用原理图画一个大筐,再画两个小框,每个小框里做一些程序实现什么功能,编译后生成VHDL文件,自己查看QUARTUS是怎么组织的,我没有问过,就是这样学的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:18

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表