搜索
bottom↓
回复: 2

【verilog请教】驱动源问题,大家帮忙,难眠!多谢!

[复制链接]

出0入0汤圆

发表于 2012-4-11 02:47:15 | 显示全部楼层 |阅读模式


目前本人在自学verilog HDL,刚刚起步(业余时间学习也就半个月),买了一本书《Verilog HDL入门(第三版)》,书中第33页部分内容如下:

********************************************************************************
若wire类型(或者tri类型)的线网由多个驱动源驱动,则线网的有效值由下表决定

下面举例说明:
assign mode_enable = clk_enable & clk_mode;
...
assign mode_enable = clk_enable ^ clk_mode;

在这个例子中,mode_enable有两个驱动源,分别为clk_enable和clk_mode。两个驱动源的值(表达式等号右侧的两项)用于在上表中作索引,以便决定mode_enable的有效值。由于mode_enable是一个向量,每位的值需要独立计算。例如,若clk_enable的值为01x,且clk_mode的值为11z,则mode_enable的有效值是x1x(第1位0和1在表中索引到x,第2位1和1在表中索引到1,第3位x和z在表中索引到x)。
********************************************************************************
引用结束,作为一个初学者问题如下(由于初学,仿真验证什么的还不会,这个入门要比单片机复杂):
书中所说mode_enable的两个驱动源是clk_enable和clk_mode,(好像)只与这两个变量的值有关,而与后面那两个表达式无关,那表达式(无论做什么运算)不是显得毫无意义了?
而我觉得这两个驱动源应该分别是【clk_enable&clk_mode】和【clk_enable^clk_mode】,应该是这两个表达的运算结果去查表。
我现在很迷茫,还没入门,不知道我的理解哪里有误区,请大家多多帮忙!

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入442汤圆

发表于 2012-4-11 07:58:05 | 显示全部楼层
目前综合器不能支持多驱动源,X所谓的驱动网表实际上是手工布线并连接的输出值。综合器会报错,提示同一网络有多个驱动源。

出0入0汤圆

 楼主| 发表于 2012-4-11 08:35:09 | 显示全部楼层
wye11083 发表于 2012-4-11 07:58
目前综合器不能支持多驱动源,X所谓的驱动网表实际上是手工布线并连接的输出值。综合器会报错,提示同一网 ...

多谢,但我觉得书上的内容从理论上来说是错误的。应该是一个与门与一个异或门的输出作为驱动源。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表