搜索
bottom↓
回复: 10

Verilog点灯失败

[复制链接]

出0入0汤圆

发表于 2012-2-4 15:20:13 | 显示全部楼层 |阅读模式
用的是actel的FPGA

(原文件名:未命名.jpg)

上面一段代码中,软件提示clk没有被使用到,导致,引脚分配时,没有clk
请高手赐教,问题在呢

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-2-4 15:27:27 | 显示全部楼层
估计是被综合软件优化掉了,你再加一个输入,比如叫SW1,让LED1 <= SW1 ;就不会优化了

出0入0汤圆

 楼主| 发表于 2012-2-4 15:28:35 | 显示全部楼层
回复【1楼】sdu1028
-----------------------------------------------------------------------

好的,感谢,试试看

出0入0汤圆

 楼主| 发表于 2012-2-4 15:34:30 | 显示全部楼层
回复【1楼】sdu1028
-----------------------------------------------------------------------

好了,谢谢,

另外,我有一个问题,选单片机时除了功能,速度要求外,主要是看单片机的RAM,ROM或flash的大小

那么选择FPGA时,我们一般都注重那些参数

出0入0汤圆

发表于 2012-2-4 15:50:26 | 显示全部楼层
如果单纯点灯的话,干脆 assign led1 = 1'b0;

出0入0汤圆

发表于 2012-2-4 15:53:05 | 显示全部楼层
回复【3楼】qjs412_51
回复【1楼】sdu1028  
-----------------------------------------------------------------------
好了,谢谢,
另外,我有一个问题,选单片机时除了功能,速度要求外,主要是看单片机的ram,rom或flash的大小
那么选择fpga时,我们一般都注重那些参数
-----------------------------------------------------------------------


里面有多少逻辑资源, 多少PIN,多少RAM啥的。

我也是新手,不是很懂。

出0入0汤圆

 楼主| 发表于 2012-2-4 16:05:01 | 显示全部楼层
回复【5楼】womenhome
-----------------------------------------------------------------------

呵呵。。。谢谢


如果采用这个写法的话assign led1 = 1'b0;
module  LED   (Key,clk,LED1);

    input  clk,Key;
    output reg LED1;
    always@ (posedge clk)   
            begin
                    assign    LED1 = 1'b0;
                end
endmodule
会报错
好像定义为output的直接赋值常数,不是很懂

出0入0汤圆

发表于 2012-2-4 16:10:50 | 显示全部楼层
REG 型 不能用 assign.

你改成 output wire led1,应该就可以了。

而且压根不用 always 语句。

module led (led1);

output led1;
wire led1;

assign led1 = 1'b0;

endmodule

出0入0汤圆

 楼主| 发表于 2012-2-4 16:22:01 | 显示全部楼层
试过了,没有问题
感觉自学FPGA和自学单片机比起来难多了
-_-!!!

出0入0汤圆

发表于 2012-2-5 15:17:44 | 显示全部楼层
回复【8楼】qjs412_51  
-----------------------------------------------------------------------
学FPGA是自己造寄存器,而学单片机是用寄存器。当然感觉不一样啦~~~~

出0入0汤圆

发表于 2012-2-5 16:16:49 | 显示全部楼层
两种方法都可以:
1.
reg led;
always @ (*) led <= 1'b1;

2.
wire led;
assign led = 1'b1;

这两种方法生成的电路是完全一样的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:13

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表