搜索
bottom↓
回复: 1

FPGA时序仿真-ram发现的时序问题

[复制链接]

出0入0汤圆

发表于 2011-12-12 13:50:14 | 显示全部楼层 |阅读模式
在进行FPGA的ip生产ram的仿真是发现的问题。求高手指点~~~感激不敬~~
在时钟的上升沿改变数据时,发现在输出端读得的数据就回错乱一个。如图所示:

(原文件名:数据持续时间一个时钟周期.png)

仿真testbeach文件如下:
`timescale 10 ns/ 1 ps
module ram_sim();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg [4:0] address;
reg clock;
reg [7:0] data;
reg wren;
// wires                                               
wire [7:0]  q;

// assign statements (if any)                          
ram i1 (
// port map - connection between master ports and signals/registers   
        .address(address),
        .clock(clock),
        .data(data),
        .q(q),
        .wren(wren)
);
initial                                                
begin                                                  
  wren=1;
  data=0;
  address=0;
  repeat(31)
  begin
    #20 data=data+2;
         address=address+1;
  end
  #50 data='dz;
  wren=0;
  address=31;
  repeat(31)
         #20 address=address-1;
end                                                   
always                                                              
begin                                                  
  #5 clock=0;           
  #5 clock=1;                                    
end                                                   
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-12-12 13:59:30 | 显示全部楼层
解决方法我已经找到了,就是在address=0; repeat(31)处改成 address=0; #5 repeat(31)使之错开#5个时间单元,这样就每次在时钟的下降沿改变数据,这个就可以了!
这是之后的仿真结果:

(原文件名:1.png)

但是让我疑惑的是为什么错乱成这样子,如本该读出12的,结果是8,本应该读16的,结果却是12.。。。。这可能是与IP构建的rom的程序有关联。。。。求高手指教!~
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:19

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表