搜索
bottom↓
楼主: spark51

高级流水灯--水滴效果(渐变带拖尾效果)实现和讲解

  [复制链接]

出0入0汤圆

发表于 2012-1-6 17:42:05 | 显示全部楼层
mark too.

出0入0汤圆

发表于 2012-1-6 23:33:55 | 显示全部楼层
chul chul除了佩服,梅花说了,谢谢LZ

出0入0汤圆

发表于 2012-1-7 00:06:49 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-7 00:41:24 | 显示全部楼层
不错

出0入0汤圆

发表于 2012-1-7 01:16:29 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-7 04:05:36 | 显示全部楼层
楼主真不错!

出0入0汤圆

发表于 2012-1-7 11:27:01 | 显示全部楼层
顶!!讲解得很详细,谢谢!

出0入0汤圆

发表于 2012-1-7 11:33:01 | 显示全部楼层
说的很详细,谢谢!

出0入0汤圆

发表于 2012-1-8 10:41:16 | 显示全部楼层
向楼主学习,难得有这么个热心教人的好人!

出0入0汤圆

发表于 2012-1-8 22:00:18 | 显示全部楼层
图文并貌
讲的也很详细
是一篇好帖子

出0入0汤圆

发表于 2012-1-8 22:23:14 | 显示全部楼层
楼主挻好的哦,谢谢了

出0入0汤圆

发表于 2012-1-9 15:49:03 | 显示全部楼层
好长啊。。。先马克了慢慢看~

出0入0汤圆

发表于 2012-1-11 20:09:39 | 显示全部楼层
学习了,谢谢楼主!

出0入0汤圆

发表于 2012-1-13 08:49:27 | 显示全部楼层
讲的这么详细,怎么也得给置酷,顶一下。

出0入0汤圆

发表于 2012-1-13 08:59:07 | 显示全部楼层
楼主,你用的啥板子实验的,讲解的是很好,我下载了没法测试啊,能公开电路图吗?

出0入0汤圆

发表于 2012-1-13 09:10:58 | 显示全部楼层
经典

出0入0汤圆

发表于 2012-1-13 15:07:37 | 显示全部楼层
很好很强大

出0入0汤圆

发表于 2012-1-13 18:05:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-13 19:11:28 | 显示全部楼层
很多错的东西嘛,感谢楼主!

出0入0汤圆

发表于 2012-1-13 20:41:33 | 显示全部楼层
这个值得看看!

出0入0汤圆

发表于 2012-1-13 23:05:26 | 显示全部楼层
回复【214楼】pengxin213
-----------------------------------------------------------------------

头像看着好眼熟,
坛子里还有个人用这个头像的。。。
你这个露得更多了

出0入0汤圆

发表于 2012-1-13 23:12:17 | 显示全部楼层
学习~
头像被屏蔽

出0入0汤圆

发表于 2012-1-15 20:12:22 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

发表于 2012-1-16 10:06:32 | 显示全部楼层
楼主邮箱是多少?能发个原理图和代码到俺邮箱不

出0入0汤圆

发表于 2012-1-16 10:10:59 | 显示全部楼层
写的不错 学习了~

出0入0汤圆

发表于 2012-1-16 23:30:55 | 显示全部楼层
很详细

出0入0汤圆

发表于 2012-1-18 16:16:01 | 显示全部楼层
谢谢楼主,让我们这些新手佩服~~~

出0入0汤圆

发表于 2012-1-19 13:16:58 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-20 13:59:14 | 显示全部楼层
不错挺详细的

出0入0汤圆

发表于 2012-1-22 19:50:02 | 显示全部楼层
学习了,很详细

出0入0汤圆

发表于 2012-1-25 13:56:48 | 显示全部楼层
新手,始终有点看不懂的!

出0入0汤圆

发表于 2012-1-25 15:17:14 | 显示全部楼层
思路很好啊!支持一下!!

出0入0汤圆

发表于 2012-1-26 13:30:04 | 显示全部楼层
学习学习……

出0入0汤圆

发表于 2012-1-26 17:52:20 | 显示全部楼层
马克

出0入0汤圆

发表于 2012-1-26 18:00:01 | 显示全部楼层
标记

出0入0汤圆

发表于 2012-1-26 18:17:57 | 显示全部楼层
不错,学习了.

出0入0汤圆

发表于 2012-1-26 20:18:22 | 显示全部楼层
回复【楼主位】spark51  
-----------------------------------------------------------------------

收藏 慢慢学习

出0入0汤圆

发表于 2012-1-26 22:17:41 | 显示全部楼层
马克一下
不错的东西

出0入0汤圆

发表于 2012-1-29 21:28:18 | 显示全部楼层

出0入0汤圆

发表于 2012-1-29 22:27:52 | 显示全部楼层
都喜欢mark

出0入0汤圆

发表于 2012-1-29 22:49:45 | 显示全部楼层
值得一看

出0入0汤圆

发表于 2012-1-29 23:06:30 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-29 23:24:26 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-1-30 18:37:43 | 显示全部楼层
楼主,这个文章有么有pdf版的,下载了方便随时查看

出0入0汤圆

发表于 2012-1-31 15:51:09 | 显示全部楼层
楼主的这个创意真的是太好了。真是让人越越预试啊!

出0入0汤圆

发表于 2012-2-1 23:56:04 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-2 14:46:56 | 显示全部楼层
值得学习

出0入0汤圆

发表于 2012-2-2 14:57:10 | 显示全部楼层
顶!

出0入0汤圆

发表于 2012-2-2 19:42:49 | 显示全部楼层
强大地思想!

出0入0汤圆

发表于 2012-2-5 11:29:50 | 显示全部楼层
楼主,你用的啥板子实验的,讲解的是很好,我下载了没法测试啊,能公开电路图吗?

出0入0汤圆

发表于 2012-2-6 09:59:32 | 显示全部楼层
mark,讲解相当详细

出0入0汤圆

发表于 2012-2-9 17:50:51 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-9 22:10:19 | 显示全部楼层
thanks

出0入0汤圆

发表于 2012-2-10 01:40:57 | 显示全部楼层
上学的时候也做过流水灯,不过不能控制亮度,(呵呵,那时是用拨码开关2进制写程序)

出0入0汤圆

发表于 2012-2-10 09:43:24 | 显示全部楼层
回复【248楼】p7885572
-----------------------------------------------------------------------

程序里有个QQ群,我从群共享里找到电路图了

出0入14汤圆

发表于 2012-2-10 10:19:47 | 显示全部楼层
好长,选MARK,有时间再看

出0入0汤圆

发表于 2012-2-10 12:02:26 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-10 13:34:37 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-10 13:47:43 | 显示全部楼层
下下来研究一下,谢谢楼主

出0入0汤圆

发表于 2012-2-10 23:51:12 | 显示全部楼层
学单片机最基本的东西,写得很细心,不错。

出0入0汤圆

发表于 2012-2-11 11:29:28 | 显示全部楼层
很有嚼头的代码,感谢楼主  :)

出0入0汤圆

发表于 2012-2-19 21:08:55 | 显示全部楼层
楼主申请加酷吧

出0入0汤圆

发表于 2012-2-19 21:25:59 | 显示全部楼层
马克

出0入0汤圆

发表于 2012-2-19 21:54:37 | 显示全部楼层
找出前一段时间用EPM240做的一个水滴流水灯,用了211个逻辑单元。


module led(clk0,clk1,led);
input clk0;
input clk1;
output [21:0] led;
reg [21:0] div;
reg [21:0] led;
reg [4:0] t;
reg [4:0] cmp0,cmp1,cmp2,cmp3,cmp4,cmp5,cmp6,cmp7,cmp8,cmp9,cmp10,cmp11,cmp12,cmp13,cmp14,cmp15,cmp16,cmp17,cmp18,cmp19,cmp20,cmp21;

parameter c=1;
parameter level=32-12;
parameter div_val=1800000;

initial
begin
        div=22'd0;
        led=17'h1ffff;
        t=level;
        cmp0=c*0;
        cmp1=c*1;
        cmp2=c*2;
        cmp3=c*3;
        cmp4=c*4;
        cmp5=c*5;
        cmp6=c*6;
        cmp7=c*7;
        cmp8=c*8;
        cmp9=c*9;
        cmp10=c*10;
        cmp11=c*11;
        cmp12=c*12;
        cmp13=c*13;
        cmp14=c*14;
        cmp15=c*15;
        cmp16=c*16;
        cmp17=c*17;
        cmp18=c*18;
        cmp19=c*19;
        cmp20=c*20;
        cmp21=c*21;
end

always @ (posedge clk0)
begin
        div=div+1;
        if(div==div_val)
                div=0;
        if(div==0)
        begin
                cmp0=cmp0-1;
                cmp1=cmp1-1;
                cmp2=cmp2-1;
                cmp3=cmp3-1;
                cmp4=cmp4-1;
                cmp5=cmp5-1;
                cmp6=cmp6-1;
                cmp7=cmp7-1;
                cmp8=cmp8-1;
                cmp9=cmp9-1;
                cmp10=cmp10-1;
                cmp11=cmp11-1;
                cmp12=cmp12-1;
                cmp13=cmp13-1;
                cmp14=cmp14-1;
                cmp15=cmp15-1;
                cmp16=cmp16-1;
                cmp17=cmp17-1;
                cmp18=cmp18-1;
                cmp19=cmp19-1;
                cmp20=cmp20-1;
                cmp21=cmp21-1;
        end
end

always @ (posedge clk0)
begin
        t=t+1;
        if(t==0)
                t=level;
        if((t<cmp0))
                led[0]=1'b0;
        else
                led[0]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp1)
                led[1]=1'b0;
        else
                led[1]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp2)
                led[2]=1'b0;
        else
                led[2]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp3)
                led[3]=1'b0;
        else
                led[3]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp4)
                led[4]=1'b0;
        else
                led[4]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp5)
                led[5]=1'b0;
        else
                led[5]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp6)
                led[6]=1'b0;
        else
                led[6]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp7)
                led[7]=1'b0;
        else
                led[7]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp8)
                led[8]=1'b0;
        else
                led[8]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp9)
                led[9]=1'b0;
        else
                led[9]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp10)
                led[10]=1'b0;
        else
                led[10]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp11)
                led[11]=1'b0;
        else
                led[11]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp12)
                led[12]=1'b0;
        else
                led[12]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp13)
                led[13]=1'b0;
        else
                led[13]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp14)
                led[14]=1'b0;
        else
                led[14]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp15)
                led[15]=1'b0;
        else
                led[15]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp16)
                led[16]=1'b0;
        else
                led[16]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp17)
                led[17]=1'b0;
        else
                led[17]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp18)
                led[18]=1'b0;
        else
                led[18]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp19)
                led[19]=1'b0;
        else
                led[19]=1'b1;
end

always @ (posedge clk0)
begin
        if(t<cmp20)
                led[20]=1'b0;
        else
                led[20]=1'b1;
               
        if(t<cmp21)
                led[21]=1'b0;
        else
                led[21]=1'b1;
end

endmodule

出0入0汤圆

发表于 2012-2-20 00:16:17 | 显示全部楼层
不mark怎么行啊

出0入0汤圆

发表于 2012-2-20 01:04:55 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-2-20 08:57:05 | 显示全部楼层
有原理,有操作,受益非浅,顶!

出0入0汤圆

发表于 2012-2-20 09:52:11 | 显示全部楼层
给人一种全新的思维

出0入0汤圆

发表于 2012-2-20 10:06:42 | 显示全部楼层
算法学习了。感谢楼主。

出0入0汤圆

发表于 2012-2-20 10:12:31 | 显示全部楼层
回复【267楼】zzzxxxyyy
感谢楼主。刚学单片机时只是点亮一个LED灯,那时就很高兴,后来又学会了流水灯,点阵屏。今天看了水滴程序就又想玩一玩。

出0入0汤圆

发表于 2012-2-20 17:30:10 | 显示全部楼层
很好

出0入0汤圆

发表于 2012-2-20 22:24:36 | 显示全部楼层
MARK,学习下……

出0入0汤圆

发表于 2012-2-21 16:09:05 | 显示全部楼层
学习了   谢谢分享

出0入0汤圆

发表于 2012-2-21 21:21:42 | 显示全部楼层
楼主NB

出0入0汤圆

发表于 2012-3-3 18:40:53 | 显示全部楼层
mark了!!

出0入0汤圆

发表于 2012-3-3 21:28:43 | 显示全部楼层
果断 mark 啊!

出0入0汤圆

发表于 2012-3-3 22:28:04 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-3-3 23:08:52 | 显示全部楼层
LZ花了不少的心思呀

出10入12汤圆

发表于 2012-3-3 23:18:21 | 显示全部楼层
花了不少的心思呀

出0入0汤圆

发表于 2012-3-3 23:23:28 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-3-4 11:06:15 | 显示全部楼层
有原理,有操作,受益非浅

出0入0汤圆

发表于 2012-3-4 11:10:14 | 显示全部楼层
不记得MARK过没有,再MARK一次

这个帖子应该置酷的,虽然原理不难,但没见这个帖子沉下去过

出0入0汤圆

发表于 2012-3-4 19:56:45 | 显示全部楼层
MARK

出0入0汤圆

发表于 2012-3-4 19:57:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2012-3-4 23:59:44 | 显示全部楼层
好酷啊 决定马上去试了

出0入0汤圆

发表于 2012-3-5 09:41:09 | 显示全部楼层
上个月在广州中华广场那里看到树上挂的就是这种灯

出0入0汤圆

发表于 2012-8-6 16:42:35 | 显示全部楼层
这东西比较好,LZ威武

出0入0汤圆

发表于 2012-8-6 16:50:59 | 显示全部楼层
mark~~~~~~~~~~~~

出0入0汤圆

发表于 2012-8-6 22:05:32 | 显示全部楼层
欢迎楼主继续讲课~~~~~~~~~~~~~~~~~~~~~~

出0入0汤圆

发表于 2012-8-8 18:25:05 | 显示全部楼层
PWM实现灰度!

出0入0汤圆

发表于 2012-8-8 22:59:11 | 显示全部楼层
很好很强大,多谢

出0入0汤圆

发表于 2012-8-9 12:27:00 | 显示全部楼层
Ma

出0入0汤圆

发表于 2012-8-9 12:44:54 来自手机 | 显示全部楼层
mark        ,

出0入0汤圆

发表于 2012-8-9 12:59:01 | 显示全部楼层
好美的流水灯,收藏!

出0入0汤圆

发表于 2012-8-9 23:08:27 | 显示全部楼层
不错

出0入0汤圆

发表于 2012-8-9 23:12:09 | 显示全部楼层
学习了  LZ的板子是金沙滩小宋老师那买的吧

出0入0汤圆

发表于 2012-8-9 23:19:48 来自手机 | 显示全部楼层
马可,明天看

出0入0汤圆

发表于 2012-8-9 23:20:46 来自手机 | 显示全部楼层
马可,明天看

出0入0汤圆

发表于 2012-8-10 08:43:49 | 显示全部楼层
楼主用心了 ,,,

出0入0汤圆

发表于 2012-8-10 09:25:27 | 显示全部楼层
good................
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 06:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表