搜索
bottom↓
回复: 2

新手一个很基本的问题一直没弄懂,希望各位解释一下啊

[复制链接]

出0入0汤圆

发表于 2011-11-25 04:51:37 | 显示全部楼层 |阅读模式
begin
        P1:process(up_ner,Pressed,Rst)
                begin
                        if Rst='0' then  
                                temp:=0;
                        elsif falling_edge(Pressed) then
                                if up_ner='0' then
                                        temp:=temp+1;
                                        if temp=16 then
                                                temp:=0;
                                        end if;
                                else
                                        temp:=temp-1;
                                        if temp=0 then
                                                temp:=15;
                                        end if;
                                end if;
                        end if;
                end process;
        Count<=conv_std_logic_vector(temp,4);
end;
为什么最后的Count信号在仿真时就一直是0啊,temp信号能变的,Count一直不变??????

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-11-25 08:21:56 | 显示全部楼层
temp:=temp-1; 说明temp是一个变量,但没有看见你定义。
变量不能用在进程外。
将temp定义成 SIGNAL 才对

出0入0汤圆

 楼主| 发表于 2011-11-27 05:54:44 | 显示全部楼层
回复【1楼】the wind blows  
-----------------------------------------------------------------------

恩,我现在知道了的,谢谢啦!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:12

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表