搜索
bottom↓
回复: 1

【求教】用移位加3法实现二进制转BCD(VHDL)问题

[复制链接]

出0入0汤圆

发表于 2011-11-14 21:32:45 | 显示全部楼层 |阅读模式
程序如下请大家看看哪里有问题啊?
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

ENTITY bintobcd IS
PORT (        clk                :         IN std_logic;
                bin                :        IN std_logic_vector(9 downto 0);  
                bcd                :        OUT std_logic_vector(15 downto 0);
                st                :        OUT std_logic_vector(1        downto 0));  
END        bintobcd;



ARCHITECTURE one of bintobcd is

SIGNAL btemp:        std_logic_vector(15 downto 0);
SIGNAL b_mid:        std_logic_vector(9 downto 0);
SIGNAL cnt        :        std_logic;
SIGNAL count:        std_logic_vector(4        downto 0);
TYPE        state  is (s0,s1,s2);
SIGNAL        current_state,next_state :        state;
BEGIN        
reg:PROCESS (clk)
                BEGIN
                        IF rising_edge(clk)                THEN
                                IF cnt ='1'        THEN                                       
                                        count <= count+1;
                                ELSE
                                                count <= "00000";       
                                END IF;
                               
                                current_state <= next_state;
                               
                        END IF;
        END PROCESS;       
com:PROCESS (current_state,count)
         
                BEGIN
                        CASE current_state is
                                WHEN s0                =>        st <= "00";
                                                                cnt <= '0';                                                               
                                                                next_state <= s1;                                                                
                                WHEN s1         =>
                                                                st <= "01";
                                                                cnt<= '1';
                                                                next_state <= s2;                               
                                WHEN s2                =>
                                                                st <= "10";
                                                                cnt<= '1';
                                                               
                                                                IF count <= "10100" THEN                                                                                                                                                
                                                                        next_state <= s1;
                                                                                                                                                                                                                       
                                                                ELSE       
                                                                        next_state <= s0;
                                                                         
                                                                END IF;                                                                
                                WHEN OTHERS =>
                                                                        next_state <= s0;
                        END CASE;
END PROCESS com;
output        :        PROCESS (current_state,bin,btemp,b_mid,count)
                        VARIABLE bt        :        std_logic_vector(15 downto 0);
                                BEGIN
                                        CASE  current_state                IS
                                                WHEN         s0        =>
                                                                bcd<=(OTHERS => '0');
                                                                btemp<=(OTHERS => '0');
                                                                b_mid <= bin;
                                                WHEN           s1        =>
                                                                               
                                                        bt := btemp(14 downto 0)&b_mid(9);
                                                        b_mid(9 downto 1)<= b_mid(8 downto 0)
                                                                                       
                                                                                       
                                                WHEN    s2                =>
                                                                                       
                                                                                       
                                                        IF bt(15 downto 12)>"0100" THEN
                                                          bt(15 downto 12):= bt(15 downto 12)+"0011";
                                                                                                                        
                                                          ELSIF bt(11 downto 8)>"0100" THEN
                                                          bt(11 downto 8):= bt(11 downto 8)+"0011";
                                                                                                       
                                                          ELSIF bt(7 downto 4)>"0100" THEN
                                                         bt(7 downto 4):=bt(7 downto 4)+"0011";                
                                                        ELSIF bt(3 downto 0)>"0100" THEN
                                                        bt(3 downto 0):=bt(3 downto 0)+"0011";
                                                        END IF;
                                                        bcd<=bt;
                                                        btemp<=bt;
                                                                                       
                                                WHEN   OTHERS        =>
                                                                NULL;
                                        END CASE;
END PROCESS output;
END one;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-11-15 12:28:12 | 显示全部楼层
求高手点拨下啊。。。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表