搜索
bottom↓
回复: 8

RTL级代码加入`timescale 1ns/100ps 起到什么作用呢

[复制链接]

出0入0汤圆

发表于 2011-10-1 14:27:31 | 显示全部楼层 |阅读模式
RTL级代码   在起始行加入`timescale 1ns/100ps  
给参数赋值时  cnt <= #1 8'b10;
起到什么作用呢
延时#1 不是不可综合的吗,加入起到什么作用呢?求解

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-10-1 16:40:18 | 显示全部楼层
你确认自己看的不是testbench?

出0入0汤圆

 楼主| 发表于 2011-10-1 19:17:46 | 显示全部楼层

(原文件名:QQ截图20111001191441.jpg)

没有吧,这些应该不是testbench?吧
下面才是testbench?吧


(原文件名:QQ截图20111001191648.jpg)

出0入0汤圆

发表于 2011-10-1 22:47:28 | 显示全部楼层
看仿真波形比较方便, 综合时无效果

出0入0汤圆

 楼主| 发表于 2011-10-1 22:54:16 | 显示全部楼层
回复【3楼】ix1982
看仿真波形比较方便, 综合时无效果
-----------------------------------------------------------------------
那哪些文件是可以综合的呢,opencores  的IP核   不懂哪些文件是可综合的

出0入0汤圆

发表于 2011-10-1 22:59:46 | 显示全部楼层
回复【4楼】maqingbiao  
回复【3楼】ix1982
看仿真波形比较方便, 综合时无效果
-----------------------------------------------------------------------
那哪些文件是可以综合的呢,opencores  的ip核   不懂哪些文件是可综合的

-----------------------------------------------------------------------

一般你看顶层例化了哪些文件就知道哪些是可综合的了。

出0入0汤圆

 楼主| 发表于 2011-10-1 23:24:39 | 显示全部楼层
我看的顶层例化的文件  也有包含类似于 cnt <= #1 8'b10; 的延时代码呢   这是怎么回事呢

出0入0汤圆

 楼主| 发表于 2011-10-2 12:26:20 | 显示全部楼层
一个热心的网友解答是:
“它是为了功能仿真的时候使仿真结果与实际情况更接近,
因为功能仿真的时候是不考虑门级的延迟的,综合的时候会把它忽略掉。”

真诚的感谢他

出0入0汤圆

发表于 2011-10-6 11:12:05 | 显示全部楼层
纯粹是为了仿真好看波形
综合时,请忽略哈
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表