搜索
bottom↓
回复: 1

VHDL定义函数的问题

[复制链接]

出0入0汤圆

发表于 2011-9-30 16:46:00 | 显示全部楼层 |阅读模式
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity My_All is
port(
my_and_a,
my_and_b
:in bit;
my_and_y
:out bit;
my_adder_a,
my_adder_b
:in STD_LOGIC_VECTOR(2 downto 0);
my_adder_s
:out STD_LOGIC_VECTOR(3 downto 0)
);
end entity My_All;

architecture main of My_All is
begin
my_and:
block
begin
my_and_y <= my_and_a and my_and_b;
end block my_and;

my_adder:
process (my_adder_a,my_adder_b)

function my_adder_unit (add_in:STD_LOGIC_VECTOR(1 downto 0)) return STD_LOGIC_VECTOR(1 downto 0) is
variable tmp:STD_LOGIC_VECTOR( 1 downto 0 );
begin
tmp(0):=add_in(0) xor add_in(1);
tmp(1):=add_in(0) and add_in(1);
return tmp;
end function my_adder_unit;

variable tmp1:bit;
begin



end process my_adder;


end architecture main;



Error (10479): VHDL error at My_All.vhd(31): indexed name type is used but not declared

在网上找到的答案是将return STD_LOGIC_VECTOR(1 downto 0)中的(1 downto 0)去掉。
为什么返回值的长度不严格要求呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-10-4 09:13:25 | 显示全部楼层
顶。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表