搜索
bottom↓
回复: 15

EP3C16有没有假货?

[复制链接]

出0入0汤圆

发表于 2011-9-29 11:17:20 | 显示全部楼层 |阅读模式
EP3C16用HDL写一个LED的例程可以运行

写一个nios 的hello world就不行了

电路很简单,用sopc builder建一个软核,一个onchip memory 一个jtag uart

两个端口,一个复位,一个clk

在nois ide下点run的时候出现


assertion "m_state == STATE_DEBUG" failed: file "nios2oci.cpp", line 157
Using cable "USB-Blaster [USB-0]", device 1, instance 0x00
Pausing target processor: D:\altera\90\nios2eds\bin\nios2-download: line 594:  3
204 Hangup                  nios2-gdb-server --device 1 --instance 0 --tcpport n
one --write-pid ./Release/nios2-download.pid ./Release/hello_world_small_0.elf.s
rec

有时候又提示

Using cable "USB-Blaster [USB-0]", device 1, instance 0x00
Pausing target processor: not responding.
Resetting and trying again: FAILED
Leaving target processor paused


用样的我用身边的EP1C6的板子,也是这样建了一个工程,nios能跑起来

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-9-29 11:21:54 | 显示全部楼层
第一个错误,在altera网站上说是jtag干扰引起的,但jtag电路是按handbook上设计的,按理说应该没错,走线离器件也很近。

第二个错误,很明显是CPU没响应


EP3C16在淘宝上买的,同时来买了EPCS4和HY57V281620


HY57V281620 用手擦几下,上面的丝印就模糊了

EPCS4装上去不能下载,换了我一个旧板上的,正常

很显然,这两个芯片一定是假的

EP3C16丝印还是擦不掉,从外观上看和我的EP1C16差不多,应该不会有假吧

若是假的,那岂不害死我了

出0入0汤圆

 楼主| 发表于 2011-9-29 11:26:25 | 显示全部楼层
http://item.taobao.com/item.htm?id=12766917593


http://item.taobao.com/item.htm?id=10831394351

出0入0汤圆

 楼主| 发表于 2011-9-29 11:58:15 | 显示全部楼层
http://niosii.net/support/kdb/solutions/rd04012011_290.html

Problem

assertion "m_state == STATE_DEBUG" failed: file "nios2oci.cpp", line 158
Solution

You may see this error message when trying to connect to the Nios® II CPU through the JTAG interface, using the Nios II EDS (Embedded Development System) tools, or from the Nios II Command Shell.

This error message may be due to the GDB server having trouble communicating with the JTAG interface on the Nios II CPU in your design.

In most cases this is caused by your design not meeting timing, but may also be due to addressing issues within your SOPC Builder system.  In these cases, the workaround is to ensure your design meets timing, and that there are no addressing conflicts in your Nios II system design.

-----------------------
莫非是时序约束问题,Cyclone和cy ii,不加任何约束也能用的哦

难道在C3这里了就不行了??

出0入0汤圆

发表于 2011-9-29 12:09:10 | 显示全部楼层
altera的假货满天飞

出0入0汤圆

 楼主| 发表于 2011-9-29 13:52:11 | 显示全部楼层
双会不会和下载线有关系。。。


那种超值版的下载线支持不


就是一个单片机加244的。

出0入0汤圆

发表于 2011-10-5 12:25:35 | 显示全部楼层
翻新的当散新全新卖。

出0入0汤圆

 楼主| 发表于 2011-10-5 22:08:31 | 显示全部楼层
在nois ide下点run的时候经常的不成功

有时候这个成功了,但HDL CODE改动一下后

NIOS RUN又不成功了。被拆腾得哆呛

出0入0汤圆

 楼主| 发表于 2011-10-8 13:01:32 | 显示全部楼层
不跑软核了

直接用内部倍频器

10分频,再2倍频(输入时钟为50MHZ)

输出到管脚上,竞然有12M,另一块板还离谱一些,有时候14M

而且重复断电,重启,输出的时钟频率都不一样,偶尔也会是正常的10M

真不愿意相信是芯片问题,但又实在是找不出原因。

我没有添加任何约束,编译出来有二十几个警告,应该没事吧,我用EP2CC20的时候,也是没加任何约束,照样能跑起来。

出0入0汤圆

 楼主| 发表于 2011-10-8 13:31:32 | 显示全部楼层
发现两种IC


(原文件名:3C16-1.jpg)


(原文件名:3C16-2.JPG)

红圈处的两种丝印标的地方不一样,我的是第二种

出0入0汤圆

发表于 2011-10-8 13:39:10 | 显示全部楼层
回复【4楼】lm78l05  JimoPanda
altera的假货满天飞
-----------------------------------------------------------------------

我们骏龙没有假货。假货都出自某些恶劣的贸易商。

出0入0汤圆

 楼主| 发表于 2011-10-8 15:00:06 | 显示全部楼层
缺氧:


你在骏龙上班吗??

要不帮我鉴定一下哈

出0入0汤圆

发表于 2011-11-18 18:13:51 | 显示全部楼层
有没有结果?

出0入0汤圆

发表于 2011-11-18 18:34:16 | 显示全部楼层
90% 下载线问题,有些用C8051做的下载线对cyclone3或者niosii支持有问题
9%  软件问题
1%  器件问题或……

出0入0汤圆

发表于 2011-11-18 18:36:26 | 显示全部楼层
回复【10楼】yuphone .COM 缺氧®
-----------------------------------------------------------------------

骏龙现在唉,不同往日呀
不过QuartusII的xx,一直有骏龙在提供,这个很不错

出0入0汤圆

发表于 2011-11-18 20:04:20 | 显示全部楼层
你看看JTAG供电电压是不是2.5V的?另外上拉电阻要1K的。
我遇到过你说的错误,后来发现是JTAG用了10K的上拉电阻就会出现JTAG状态机报错。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表