搜索
bottom↓
回复: 2

verilog的多个模块如何实现整体功能啊 新手 求帮助

[复制链接]

出0入0汤圆

发表于 2011-9-16 15:40:19 | 显示全部楼层 |阅读模式
我正学习FPGA,今天看到一个设计,他把设计分成4-led0,led1,led2,led3部分,还有个顶层部分-top模块,所有程序如下,那么各个模块是如何实现整体功能的啊?在用quartus写程序的时候要写下面那一部分啊,我就是想不明白,顶层部分干嘛啊?求大师指点


module led0_module
(
    CLK, RSTn, LED_Out
);

    input CLK;
    input RSTn;
    output LED_Out;
   
    /*************************************/
   
    parameter T10MS = 21'd2_000_000;
   
    /*************************************/
   
    reg [20:0]Count1;
   
    always @ ( posedge CLK or negedge RSTn )
            if( !RSTn )       
                Count1 <= 21'd0;
            else if( Count1 == T10MS )
                Count1 <= 21'd0;
            else
                Count1 <= Count1 + 1'b1;
                
        /*************************************/
       
        reg rLED_Out;
       
        always @ ( posedge CLK or negedge RSTn )
        if( !RSTn )
            rLED_Out <= 1'b0;
        else if( Count1 >= 21'd0 && Count1 < 21'd500_000 )
            rLED_Out <= 1'b1;
        else
            rLED_Out <= 1'b0;
            
     /***************************************/
     
     assign LED_Out = rLED_Out;
     
     /***************************************/
              
   
endmodule



module led1_module
(
    CLK, RSTn, LED_Out
);

    input CLK;
    input RSTn;
    output LED_Out;
   
    /*************************************/
   
    parameter T10MS = 21'd2_000_000;
   
    /*************************************/
   
    reg [20:0]Count1;
   
    always @ ( posedge CLK or negedge RSTn )
            if( !RSTn )       
                Count1 <= 21'd0;
            else if( Count1 == T10MS )
                Count1 <= 21'd0;
            else
                Count1 <= Count1 + 1'b1;
                
        /*************************************/
       
        reg rLED_Out;
       
        always @ ( posedge CLK or negedge RSTn )
        if( !RSTn )
            rLED_Out <= 1'b0;
        else if( Count1 >= 21'd500_000 && Count1 < 21'd1_000_000 )
            rLED_Out <= 1'b1;
        else
            rLED_Out <= 1'b0;
            
     /***************************************/
     
     assign LED_Out = rLED_Out;
     
     /***************************************/
              
   
endmodule




module led2_module
(
    CLK, RSTn, LED_Out
);

    input CLK;
    input RSTn;
    output LED_Out;
   
    /*************************************/

    parameter T10MS = 21'd2_000_000;
   
    /*************************************/
   
    reg [20:0]Count1;
   
    always @ ( posedge CLK or negedge RSTn )
        if( !RSTn )
            Count1 <= 21'd0;
        else if( Count1 == T10MS )
            Count1 <= 21'd0;
        else
            Count1 <= Count1 + 1'b1;

    /*************************************/
   
    reg rLED_Out;
   
    always @ ( posedge CLK or negedge RSTn )
        if( !RSTn )
            rLED_Out <= 1'b0;
        else if( Count1 >= 21'd1_000_000 && Count1 < 21'd1_500_000 )
            rLED_Out <= 1'b1;
        else
            rLED_Out <= 1'b0;

     /***************************************/
     
     assign LED_Out = rLED_Out;
     
     /***************************************/
              

endmodule




module top_module
(
    CLK, RSTn, LED_Out
);

    input CLK;
         input RSTn;
         output [3:0]LED_Out;
         
         /*******************************/
         
         wire LED0_Out;
         
         led0_module U1
         (
             .CLK( CLK ),
                  .RSTn( RSTn ),
                  .LED_Out( LED0_Out )
         );
         
         /*********************************/
         
         wire LED1_Out;
         
         led1_module U2
         (
             .CLK( CLK ),
                  .RSTn( RSTn ),
                  .LED_Out( LED1_Out )
         );
         
         /*********************************/
         
         wire LED2_Out;
         
         led2_module U3
         (
             .CLK( CLK ),
                  .RSTn( RSTn ),
                  .LED_Out( LED2_Out )
         );
         
         /*********************************/
         
         wire LED3_Out;
         
         led3_module U4
         (
             .CLK( CLK ),
                  .RSTn( RSTn ),
                  .LED_Out( LED3_Out )
         );
         
         /**********************************/
         
         assign LED_Out = { LED3_Out, LED2_Out, LED1_Out, LED0_Out};
         
         /***********************************/

endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-9-16 15:44:07 | 显示全部楼层
忘记把led3程序写上,但不影响我的疑问,望大师指点啊

出0入0汤圆

 楼主| 发表于 2011-9-18 15:44:21 | 显示全部楼层
将不同模块放在同一工程下
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表