搜索
bottom↓
回复: 0

新手有问题的小程序,望各位指教

[复制链接]

出0入0汤圆

发表于 2011-9-15 10:00:47 | 显示全部楼层 |阅读模式
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date:    09:02:41 09/15/2011
// Design Name:
// Module Name:    triwave
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module triwave(clk,wave);

input clk;
output [31:0] wave;
reg [31:0] wave;
reg rfd;
wire [17:0] dividend;
wire [7:0] divisor;
wire [17:0] quotient;
wire [13:0] fractional;

wire [14:0] a;
wire [14:0] b;
wire [14:0] s;

reg [14:0] counter;

always @(posedge clk) begin
        if((counter>=0)&&(counter<10000)) begin
                counter<=counter+1;
        end
        else if(counter==10000) begin
                counter<=0;
        end
end

divip wavediv (
        .clk(clk),
        .rfd(rfd),
        .dividend(dividend), // Bus [17 : 0]
        .divisor(divisor), // Bus [7 : 0]
        .quotient(quotient), // Bus [17 : 0]
        .fractional(fractional)); // Bus [13 : 0]
subip wavesub (
        .a(a), // Bus [14 : 0]
        .b(b), // Bus [14 : 0]
        .clk(clk),
        .s(s)); // Bus [14 : 0]

always begin
        if((counter>=0)&&(counter<5000)) begin
                dividend<=counter;
                divisor<=50;
        end
        else if((counter>=5000)&&(counter<10000))
                a<=10000;
                b<=counter;
                dividend<=s;
                divisor<=50;
        end
end

assign wave=quotient<<14+fractional;

endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:29

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表