搜索
bottom↓
回复: 2

需要一个根据时钟信号的上升沿,改变输出的装置

[复制链接]

出0入0汤圆

发表于 2011-9-5 10:15:40 | 显示全部楼层 |阅读模式
具体的就是说,来一个时钟信号,输出加一,当输出达到255的时候,输出又变成0。时钟信号接的是外部的矩形信号。
能否给出Verilog源程序,或者大概思路,我自己写。
我自己写的程序效果很差。下面是我的Verilog程序:
module d_addr(inclk,clk,addr);
        input inclk;
        input clk;
        output [7:0] addr;
       
        reg [7:0] addr;
        reg temp;
       
        always @(posedge clk)
        begin
                if(inclk!=1)
                begin
                        if(temp==1)
                                begin
                                        if(addr>=8'd249)
                                        begin
                                                addr<=0;
                                                temp<=0;
                                        end
                                        else
                                        begin
                                                addr<=addr+8'd1;
                                                temp<=0;
                                        end       
                                end
                       
                end
               
                else
                begin
                        temp<=1;
                end
        end



endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-9-5 15:30:46 | 显示全部楼层
没缩进,太痛苦了。。。不知道你那个temp,inclk干啥用的,按照你要求写了一个

    module counter(reset,clk,addr);
                       input clk;
                       input reset;
                       output [7:0]addr;
                       reg [7:0]addr;

                       always@(posedge reset or posedge clk) begin
                                       if(reset) addr<=8'b0000_0000;
                                   else addr<=addr+8'b0000_0001;
                       end

    endmodule

出0入0汤圆

 楼主| 发表于 2011-9-6 17:57:33 | 显示全部楼层
回复【1楼】weicz
-----------------------------------------------------------------------

O(∩_∩)O~,程序精神是一样的,因为很简单啊 ~~

最后才发现,信号发生器的矩形波输出是有正负的,我把Vpp调为3.3V,以为可以了,实际上最高电平才是1.6V,正好是上升沿电平,所以就是一直检测到上升沿,所以输出的结果就是不确定的
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表