搜索
bottom↓
回复: 6

初学FPGA,困惑:加法器实现

[复制链接]

出0入0汤圆

发表于 2011-9-1 11:34:36 | 显示全部楼层 |阅读模式
现在有一个加法器模块,如下
==============================================================================================================================================
module FullAdder(a, b, cin, sum, cout);
input a, b, cin;
output sum, cout;

assign {cout, sum} = a + b + cin;
endmodule
==============================================================================================================================================
现在想实现两个8位2进制数[7:0]a_in、[7:0]b_in,cin的加和,结果为[7:0]result、cout。希望采用最省资源的方式实现:采用时序电路,实现每一对相应位的加和,而不增加硬件电路,这个该怎么做?

望各位前辈指教。谢谢!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-9-1 11:45:14 | 显示全部楼层
各位前辈,在线等啊!!

出0入0汤圆

 楼主| 发表于 2011-9-1 17:23:01 | 显示全部楼层
自己写了一下代码:是错的,而且知道错误的原因,但如何修改呢?
========================================================================================================================

module ALU(clk, a, b, cin, result, cout);

input[7:0]  a, b;
input              cin;
input clk;
output[7:0] result;
output      cout;



wire carry0;
wire carry1;
reg [2:0] counter;



// module 实例化
// 8-bit ripple adder

always @(posedge clk)begin
case (counter)
        0: begin
                FullAdder fa(a[0], b[0], cin, result[0], carry0);
                counter<=counter+1;
                end
        1: begin
                FullAdder fa(a[1], b[1], carry0, result[1], carry1);
                counter<=counter+1;
                end
        2:begin
                FullAdder fa(a[2], b[2], carry1, result[2], carry0);
                counter<=counter+1;
                end
        3: begin
                FullAdder fa(a[3], b[3], carry0, result[3], carry1);
                counter<=counter+1;
                end
        4: begin
                FullAdder fa(a[4], b[4], carry1, result[4], carry0);
                counter<=counter+1;
                end
        5: begin
                FullAdder fa(a[5], b[5], carry0, result[5], carry1);
                counter<=counter+1;
                end
        6: begin
                FullAdder fa(a[6], b[6], carry1, result[6], carry0);
                counter<=counter+1;
                end
        7: begin
                FullAdder fa(a[7], b[7], carry0, result[7], cout);
                counter<=0;
                end
        default:
                result=0;
endcase
end

endmodule


module FullAdder(a, b, cin, sum, cout);
input a, b, cin;
output sum, cout;

assign {cout, sum} = a + b + cin;
endmodule

出0入0汤圆

发表于 2011-10-25 18:30:36 | 显示全部楼层
虽然我不知道怎么改,但帮你顶一个

出0入0汤圆

发表于 2011-10-25 18:50:00 | 显示全部楼层
你8个实例怎么名字都一样?

出0入0汤圆

发表于 2011-10-25 18:53:40 | 显示全部楼层
应该是   FullAdder fa(a[6], b[6], carry5, result[6], carry6);才对吧

出0入4汤圆

发表于 2011-10-26 15:08:37 | 显示全部楼层
现在的综合器,都支持直接写加号。

“采用时序电路,实现每一对相应位的加和,而不增加硬件电路,这个该怎么做?”
加法器是综合逻辑,不用时钟,不算是时序电路吧。


module adder8(a, b, cin, sum, cout);  
input [7:0] a, b;
input cin;  
output [7:0] sum;
output cout;  

assign {cout, sum} = a + b + {7'b0000000, cin};  
endmodule  

试试看这样行吗?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:18

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表