搜索
bottom↓
回复: 113

自己的毕业设计--基于CPLD和单片机的多功能信号发生器

  [复制链接]

出0入0汤圆

发表于 2011-7-31 13:38:05 | 显示全部楼层 |阅读模式
先来个自我介绍,本人刚大学毕业,潜伏在此论坛有半年之久,不幸的是被莫老大揪出来了扫地出门,现在又重新注_册回来了。原因很简单,一个贴也没发过,说实话,自己水平有限。当时来这个论坛完全是被这位日本的网友(http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4250597&bbs_page_no=1&search_mode=3&search_text=zxttgg&bbs_id=9999)吸引了,他做的东西我只有羡慕妒忌恨了,哈哈。他从4岁开始玩电子技术,而我大三才开始学习单片机,一直到现在毕业,再去掉大半年的考研时间,留给自己学习电子技术的时间也最多一年多一点的时间。虽然现在自己考取了桂林电子科大的研究生,但是在这个高手如云的论坛里,基本上插不上话,自己小儿科的制作又担心被网友鄙视,所以导致了自己一言不发,见谅见谅啊各位,以后我会多多参与论坛的讨论中,希望阿莫给我一次重新改过的机会,我会重新做人的,呵呵。
  现在我上传一个自己的毕业设计--基于CPLD和单片机的多功能信号发生器,还算是比较大的一个东东吧,高手看了别鄙视我啊,再次申明本人水平十分有限,希望给需要的朋友一点点参考吧。
  先来两张图片

实物图1 (原文件名:psu.jpg)


实物图2 (原文件名:psu1.jpg)

  下面是自己实物的视频,http://v.youku.com/v_show/id_XMjc0OTcwMjYw.html
  此信号发生器可以产生六种波形,递增锯齿波,递减锯齿波,三角波,阶梯波,方波,正弦波。还可以调频调幅调占空比,CPLD使用的是EPM240,自己的毕业论文写的很详细,写了80多页的Word文档,有兴趣的朋友可以根据我的论文制作出相同的东西。
  下面是原理图,仿真,程序,毕业论文。
  51程序ourdev_662830J75P6D.rar(文件大小:33K) (原文件名:51程序.rar)
CPLD程序ourdev_662831HOKHFG.rar(文件大小:568K) (原文件名:CPLD程序.rar)
毕业论文ourdev_662832SM05EI.rar(文件大小:1.47M) (原文件名:毕业论文.rar)
仿真ourdev_662833GUUF82.rar(文件大小:113K) (原文件名:滤波器仿真.rar)
原理图ourdev_662834U8YNOO.rar(文件大小:313K) (原文件名:原理图.rar)  

  好了自己在论坛中的第一个帖子,希望对需要的朋友有用!

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2011-8-25 06:29:44 | 显示全部楼层
这帖子只有看的没有顶的呀、、、

出0入0汤圆

发表于 2011-9-3 07:53:16 | 显示全部楼层
好贴,我顶!努力学习,共勉。

出0入0汤圆

发表于 2011-9-4 01:07:14 | 显示全部楼层
我支持!

出0入0汤圆

发表于 2011-9-4 12:28:14 | 显示全部楼层
可调范围不是很大

出0入0汤圆

发表于 2011-9-8 18:23:07 | 显示全部楼层
EPM240好熟悉

出0入0汤圆

发表于 2011-9-8 18:47:11 | 显示全部楼层
一辈子只做一次的毕业设计,我觉得还是开板比较好。
我认为一个优秀的电子工程师,同时也应该是一个艺术家,而这,需要从点滴做起。
产品意识,需要贯穿始终。

呵呵,明年我也晒晒自己的毕设。

出0入0汤圆

发表于 2011-9-12 11:04:00 | 显示全部楼层
看完的顶//

出0入0汤圆

发表于 2011-9-12 15:33:00 | 显示全部楼层
支持一下。

出0入0汤圆

发表于 2011-9-13 18:58:32 | 显示全部楼层
刚刚才开始学习CPLD

出0入0汤圆

发表于 2011-9-21 11:26:30 | 显示全部楼层
回复【楼主位】hunningtu  混凝土
-----------------------------------------------------------------------
俺最近刚开始接触CPLD。多谢楼主分享啦。共同学习!

出0入0汤圆

发表于 2011-9-21 16:50:03 | 显示全部楼层
呵呵,手工作品能做成这样,已经很不错了。

出0入0汤圆

发表于 2011-9-21 22:14:55 | 显示全部楼层
给个建议:以后尽量用PCB

出0入0汤圆

发表于 2011-9-21 23:10:44 | 显示全部楼层
不错

出0入0汤圆

发表于 2011-9-22 17:21:13 | 显示全部楼层
dingqi

出0入0汤圆

发表于 2011-9-23 14:43:48 | 显示全部楼层
回复【楼主位】hunningtu  混凝土
-----------------------------------------------------------------------

不错嘛,有图有真相的

出0入0汤圆

发表于 2011-10-8 08:46:47 | 显示全部楼层
回复【楼主位】hunningtu 混凝土
-----------------------------------------------------------------------

我也在做这个,希望有帮助

出0入0汤圆

发表于 2011-10-23 15:44:47 | 显示全部楼层
顶一个

出0入0汤圆

发表于 2011-10-24 10:24:20 | 显示全部楼层
看完顶一下

出0入0汤圆

发表于 2011-10-26 09:31:56 | 显示全部楼层
好贴,我顶!努力学习,共勉。

出0入0汤圆

发表于 2011-11-12 13:03:03 | 显示全部楼层
能把自己毕设拿出来分享,挺伟大的,敬一个!

出0入0汤圆

发表于 2011-11-12 18:26:37 | 显示全部楼层
楼主很好,很强大

出0入0汤圆

发表于 2011-11-13 11:08:16 | 显示全部楼层
关注学习。

出0入0汤圆

发表于 2011-11-16 10:14:34 | 显示全部楼层
lz的帖子让我想起我的毕设了,当年做的与lz类似是基于cpld的信号特征提取,没lz做的好,cpld资源不够了,郁闷。。。

出0入9汤圆

发表于 2011-11-16 10:16:55 | 显示全部楼层
很不错

出0入0汤圆

发表于 2011-11-16 10:55:04 | 显示全部楼层
不错哦

出0入0汤圆

发表于 2011-11-16 15:11:03 | 显示全部楼层
东西不错啊,学习一下了啊

出0入0汤圆

发表于 2011-11-17 12:13:31 | 显示全部楼层
我顶。


请问“EPM240T100C5N”作为单片机外设怎么使用?

出0入0汤圆

发表于 2011-11-17 20:26:33 | 显示全部楼层
支持原创啊!楼主精神可嘉

出0入0汤圆

发表于 2011-11-17 21:28:03 | 显示全部楼层
不错哦  我也要做毕业设计了 参考下 ~

出0入0汤圆

 楼主| 发表于 2011-11-26 11:31:52 | 显示全部楼层
回复【29楼】szfrg
-----------------------------------------------------------------------

不好意思,自己太懒了,好久没上了,单片机相当于控制,cpld相当于编码,具体见论文吧

出0入0汤圆

发表于 2011-11-26 12:08:20 | 显示全部楼层
学习!

出0入0汤圆

发表于 2011-11-26 13:49:57 | 显示全部楼层
mark...

出0入0汤圆

发表于 2011-11-26 17:09:24 | 显示全部楼层
做个记号

出0入0汤圆

发表于 2011-11-26 17:33:15 | 显示全部楼层
顶楼主。

出0入0汤圆

发表于 2011-12-2 13:46:05 | 显示全部楼层
单片机+cpld组合还是不错的

出0入0汤圆

发表于 2011-12-2 14:12:18 | 显示全部楼层
高手比较谦虚啊

出0入0汤圆

发表于 2011-12-4 10:13:57 | 显示全部楼层
好好看一下了,我是刚入门的,呵呵,得好好向各位大侠学习了

出0入0汤圆

发表于 2011-12-5 23:02:26 | 显示全部楼层
希望以后像楼主一样~

出0入0汤圆

发表于 2011-12-6 21:58:09 | 显示全部楼层
dingdingding

出0入0汤圆

发表于 2012-3-29 00:00:38 | 显示全部楼层
看完顶,支持楼主。

出0入0汤圆

发表于 2012-4-6 21:46:57 | 显示全部楼层
顶顶顶顶顶顶顶,有图有真相

出0入0汤圆

发表于 2012-4-6 22:48:22 | 显示全部楼层
顶你,我和你当时状态一样,很少讲话,呵呵

出0入0汤圆

发表于 2012-4-7 11:05:14 | 显示全部楼层
真的很不错

出0入0汤圆

发表于 2012-4-7 13:41:05 | 显示全部楼层
参与一下。。

出0入0汤圆

发表于 2012-4-7 23:16:29 | 显示全部楼层
mark~~~~mark

出0入0汤圆

发表于 2012-4-8 12:42:59 | 显示全部楼层
好东西,有空也玩下

出0入0汤圆

发表于 2012-4-8 15:13:42 | 显示全部楼层
不错!!

出0入0汤圆

发表于 2012-4-13 09:46:59 | 显示全部楼层
我也学习一下

出0入0汤圆

发表于 2012-4-13 10:51:29 来自手机 | 显示全部楼层
看来以后得多多学习多发贴了

出0入0汤圆

发表于 2012-4-14 10:33:04 | 显示全部楼层
感謝板大能夠提供這麼完整的範本 難能可貴

出0入0汤圆

发表于 2012-4-19 13:00:07 | 显示全部楼层
楼主,51和cpld通信协议是什么。

出0入0汤圆

发表于 2012-4-20 20:17:29 | 显示全部楼层
楼主好虚心

出0入0汤圆

发表于 2012-4-21 00:15:58 | 显示全部楼层
好资料记个号!

出0入0汤圆

发表于 2012-4-26 08:37:02 | 显示全部楼层
mark一下~~ 感觉楼主还是很谦虚的~

出0入0汤圆

发表于 2012-4-26 20:16:37 | 显示全部楼层
请问你能不能联系一下我··我的QQ:30909949```我现在做毕业设计··非常需要向你请教一些问题

出0入0汤圆

发表于 2012-4-26 20:49:29 | 显示全部楼层
楼主,我毕业设计是基于FPGA的DDS。要求出现两路频率相同,相位可调的正弦波,求指导啊楼主!

出0入0汤圆

发表于 2012-4-26 21:07:55 | 显示全部楼层
怎么下不下来!

出0入0汤圆

发表于 2012-4-26 21:15:56 | 显示全部楼层
楼主,下不下来,可否发到我邮箱?duyuan96@126.com。感谢楼主好人!急需你这个资料看看啊!

出0入0汤圆

 楼主| 发表于 2012-4-26 23:15:03 | 显示全部楼层
yifenglasterox 发表于 2012-4-26 20:16
请问你能不能联系一下我··我的QQ:30909949```我现在做毕业设计··非常需要向你请教一些问题 ...

谈不上指导,DDS没搞过,当年水平没达到,所以还没有搞FPGA,现在忙着写论文,考试。没时间上网啊。我给你推荐本书吧,我们学的,挺不错的。EDA技术与Verilog HDL ,潘松的那本,里面有很详细的原理和实例可以参考,尤其是那个随书光盘,例子很多,很好。不常上网,大家见谅!

出0入0汤圆

发表于 2012-4-27 00:25:46 | 显示全部楼层
论坛啊~~~~啥时候能正常下载啊@@!!!!!

出0入0汤圆

发表于 2012-4-27 08:27:03 | 显示全部楼层
报告一个错误   本论坛的  点收藏 还要写个理由 写完了点确定你倒是消啊  还占在屏幕中间, 点x也不行   搞不懂要这鸡肋功能干啥玩意。

出0入0汤圆

发表于 2012-4-27 21:10:29 | 显示全部楼层
hunningtu 发表于 2012-4-26 23:15
谈不上指导,DDS没搞过,当年水平没达到,所以还没有搞FPGA,现在忙着写论文,考试。没时间上网啊。我给 ...

这本书我好像有··但是不见了···能把你当年做的信号发生器的资料给我发一份吗?发到我邮箱30909949@qq.com吗?就是你上传到论坛上面的资料··因为论坛下载不了了···所以能麻烦你传一份给我吗?谢谢··万分感激···

出0入0汤圆

 楼主| 发表于 2012-4-27 22:39:38 | 显示全部楼层
资料不能下载了,再上传一次,供大家参考。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2012-4-27 22:40:17 | 显示全部楼层
yifenglasterox 发表于 2012-4-27 21:10
这本书我好像有··但是不见了···能把你当年做的信号发生器的资料给我发一份吗?发到我邮箱吗?就是你 ...

资料再次上传,可以下载参考。

出0入0汤圆

发表于 2012-4-30 16:55:37 | 显示全部楼层
hunningtu 发表于 2012-4-27 22:40
资料再次上传,可以下载参考。

万分感谢啊····

出0入0汤圆

发表于 2012-5-1 17:41:33 | 显示全部楼层
这样的学校实验室 表示很喜欢

出0入0汤圆

发表于 2012-5-1 19:33:41 | 显示全部楼层
楼主多发几张图片看下 其他波形的效果

出0入0汤圆

 楼主| 发表于 2012-5-2 21:34:28 | 显示全部楼层
lv123123123 发表于 2012-5-1 19:33
楼主多发几张图片看下 其他波形的效果

当时示波器有点问题,波形很粗。我的毕业论文里面有相关的波形照片。可以看一下。

出0入0汤圆

发表于 2012-5-7 16:47:31 | 显示全部楼层
下不了,MARK一下。。。

出0入0汤圆

发表于 2012-5-12 18:29:42 | 显示全部楼层
为什么下不了啊

出0入0汤圆

发表于 2012-5-15 01:17:55 | 显示全部楼层
不错的设计,赞一个

出0入0汤圆

发表于 2012-5-16 14:58:50 | 显示全部楼层
好帖子 之前我也做过这个的 使用AVR ATmega16 做的

出0入0汤圆

发表于 2012-5-21 09:42:46 | 显示全部楼层
标记一下

出0入0汤圆

发表于 2012-5-21 12:30:05 | 显示全部楼层
aidianzio 发表于 2012-5-21 09:42
标记一下

自己的毕业设计-基于CPLD和单片机的多功能信号发生器 http://www.ourdev.cn/forum.php?m ... page%3D1#pid5834580 有下载。

出0入0汤圆

发表于 2012-5-21 12:40:22 | 显示全部楼层
还没细看,此信号发射器感觉挺不错的啊。。

出0入0汤圆

发表于 2012-5-21 12:42:19 | 显示全部楼层
当初大三暑假的一个课程设计做的就是DDS,其实一个单片机就可以实现了,来不及去做占空比了

出0入0汤圆

发表于 2012-5-21 16:01:46 | 显示全部楼层
  wo biaoshi ding yige !!!!!!!!!!!

出0入0汤圆

发表于 2012-5-21 16:02:15 | 显示全部楼层
   我的东西怎么会减少!!!!!!!!!!!!!!

出0入0汤圆

发表于 2012-7-14 11:30:48 | 显示全部楼层
楼主太谦虚了,做这个东东说明你的技术很全面了哦,要向你学习才对

出0入0汤圆

发表于 2012-7-18 10:10:17 | 显示全部楼层
顶一个,我正准备做这个,参考一下哈

出0入0汤圆

发表于 2012-7-24 09:00:33 | 显示全部楼层
支持 顶

出0入0汤圆

发表于 2012-7-25 19:51:53 | 显示全部楼层
我明年也要毕业了,和楼主一样,准备考研呢,
头像被屏蔽

出0入0汤圆

发表于 2012-8-7 11:34:29 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

发表于 2012-9-19 18:18:11 | 显示全部楼层
楼主、、、我正在做毕业设计。。。qq 1224541248    有问题请教一下

出0入0汤圆

发表于 2012-10-10 18:54:31 | 显示全部楼层
顶一下 支持支持,有空也学学

出0入0汤圆

发表于 2012-10-19 15:19:45 | 显示全部楼层
为啥不集成在一块呢

出0入0汤圆

发表于 2013-11-17 21:26:55 | 显示全部楼层
老帖子,新回复~~顶

出0入0汤圆

发表于 2013-11-18 00:43:12 | 显示全部楼层
我刚入门,多谢楼主分享!

出0入0汤圆

发表于 2013-11-18 07:00:55 来自手机 | 显示全部楼层
这个好好看下

出0入0汤圆

发表于 2013-11-18 12:00:25 | 显示全部楼层
谢谢楼主分享!!!

出0入0汤圆

发表于 2014-4-6 17:35:22 | 显示全部楼层
多谢楼主分享,有时间我也会做一个

出0入0汤圆

发表于 2014-5-15 17:49:17 | 显示全部楼层
好东西啊,正在找。

出0入0汤圆

发表于 2014-6-1 08:46:38 | 显示全部楼层
路过支持一下

出0入0汤圆

发表于 2015-4-16 21:54:07 | 显示全部楼层
毕业设计,我也要做一个

出0入0汤圆

发表于 2015-4-16 23:04:52 | 显示全部楼层
楼主水平挺高的,赞一个,回头稍微闲下来点了就按照楼主这个自己做一个 ;)

出0入0汤圆

发表于 2015-4-17 00:57:43 | 显示全部楼层
刚接触,也是在论坛上各种学习,先下载

出0入0汤圆

发表于 2015-4-20 09:40:27 | 显示全部楼层
谢谢楼主分享!

出0入0汤圆

发表于 2015-4-20 09:54:10 | 显示全部楼层
论文写的很详细,学生做成这样很不错了。

出0入0汤圆

发表于 2015-7-18 11:29:42 | 显示全部楼层
学习。。。。。。。。。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 23:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表