|
发表于 2011-7-30 08:29:35
|
显示全部楼层
clk :时钟大于大于din
din :输入信号
up_diff :din 上升沿后输出一个clk周期宽的脉冲
dn_diff :din 下降沿后输出一个clk周期宽的脉冲
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
ENTITY DIFF IS
PORT (
clk : in std_logic;
din : in std_logic;
up_diff,dn_diff :out std_logic);
END DIFF;
ARCHITECTURE epm570 OF DIFF IS
SIGNAL t1,t2 : std_logic;
BEGIN
PROCESS
BEGIN
WAIT UNTIL (clk'EVENT AND clk = '1');
t2 <= t1;
t1 <= din;
END PROCESS;
up_diff<=t1 AND NOT t2;
dn_diff<=NOT t1 AND t2;
END epm570; |
|