搜索
bottom↓
回复: 6

类似定时开关的小模块,自己试着写了一段。

[复制链接]

出0入0汤圆

发表于 2011-6-29 10:36:58 | 显示全部楼层 |阅读模式
START 和 CLK 为输入 EN 为输出
工作流程如下:
START一个上升沿(按一次开关),使得EN低,并且同时对CLK的上升沿敏感进行计数,经过四个上升沿后,EN升高,并停止计数(CLK一直是脉冲输入)。 再一次START上升沿。。。。以此类推。。。

计数器需要START的下降沿,计数满后是EN升高并自动停止计数。

(原文件名:shixu.jpg)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-6-29 10:38:12 | 显示全部楼层
菜鸟请求指教。

出0入0汤圆

 楼主| 发表于 2011-6-29 11:34:41 | 显示全部楼层
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity MODULE is
    Port ( START : in  STD_LOGIC;
           CLK : in  STD_LOGIC;
           EN : out  STD_LOGIC);
end MODULE;

architecture Behavioral of MODULE is
signal E12,E21: std_logic;
signal count: std_logic_vector(2 downto 0);

begin
   PROCESS(START,E21)
        BEGIN
                IF RISING_EDGE(START)THEN
                        COUNT<="000";
                        ELSE IF E21'EVENT THEN
                        E12<='1';
                 END IF;
        END process;
       
        PROCESS(CLK,E12)
        BEGIN
                IF E12='0' THEN
                        IF RISING_EDGE(CLK)THEN
                                IF COUNT="011"; THEN
                                E21<=NOT E21;
                                        ELSE
                                                COUNT<=COUNT+1;
                           END IF;
                         END IF;
                 END IF;  
               
        END process;
       
        EN<=E12;
                               





end Behavioral;

自己试着写了一段,编译说 IF  和PROCESS结尾有错误

出0入0汤圆

发表于 2011-6-29 15:19:45 | 显示全部楼层
回复【2楼】huanben
-----------------------------------------------------------------------
因为某种原因,不能上代码,只能上图片。


(原文件名:IMG0063A.jpg)


(原文件名:IMG0064A.jpg)


(原文件名:IMG0065A.jpg)

出0入0汤圆

 楼主| 发表于 2011-6-29 17:09:53 | 显示全部楼层
谢谢 楼上师兄  我仔细看看!!

出0入0汤圆

 楼主| 发表于 2011-6-29 23:17:34 | 显示全部楼层
楼上师兄 这段代码有仿真过吗?

出0入0汤圆

发表于 2011-7-2 15:22:06 | 显示全部楼层

(原文件名:IMG0070A.jpg)

把countmax 改成3
count 改成 1 to countmax+1 就是上图

输入和输出不可能在同时变。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:35

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表