搜索
bottom↓
回复: 3

modelsim前仿后仿各种问题

[复制链接]

出0入0汤圆

发表于 2011-6-18 09:57:11 | 显示全部楼层 |阅读模式
1、直接用Modelsim做仿真用.v文件,在Quartus下调用modelsim-altera仿真确是调用.vt文件。尝试了下直接把后缀改了交换用,不行!这很不方便啊,有没有什么好办法?

2、做功能仿真时要把Simulate->start simulate ->Design里的enable optimization设成无效,否则出不来波形。为什么?

3、做时序仿真时出现如下错误:Error:(vsim-SDF-3250)E:/.../counter_v.sdo(0):Failed to find INSTANCE 'counter_tb/counter'.
这貌似是个老问题了,试了网友说的各种办法,无效!添加SDF时Apply to Region也试了各种写法。比如:在“/”前写测试文件名,后写顶层例化名。
(我的测试文件名是counter_tb.v,模块名是counter_tb;功能实现文件是counter.v,模块名是counter在测试文件里的例化名是counter)。我试了这样写:counter_tb/counter这样写:counter/counter或这样写:/counter,都不行!
如果把Disable SDF warnings和Reduce SDF errors to warnings 都选上,波形倒能出来,但没有逻辑延时信息,也就是说.sdo里的信息没参与仿真,相当于只做了功能仿真。

请各位前辈指点一二,小弟不胜感激!

(不方便上网,手机敲的,有空再上图,请各位谅解!)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-6-19 11:18:14 | 显示全部楼层
modelsim 的默认testbench 后缀就是.vt的,要不就手动打开modelsim自己做编译。

优化过后一般都会综合掉一些软件认为中间多余的寄存器,所以波形出不来。

出0入0汤圆

发表于 2011-6-24 14:01:33 | 显示全部楼层
你说的后仿真问题就是没有找到 counter_v.sdo 文件 。在QUARTUS 设置第三方仿真时候设置好输出路径,实在找不到就搜索一下,COPY 到和网表同一个目录就行。

出0入0汤圆

 楼主| 发表于 2011-6-29 22:29:34 | 显示全部楼层
回复【2楼】mcupro 李伟
-----------------------------------------------------------------------

最近考试没上论坛,先谢谢各位!您说的“COPY 到和网表同一个目录就行”,我当时就是这么做的啊!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表