搜索
bottom↓
回复: 1

在quartus中用VHDL编程,发现占用LE很多,很短的程序 想问一下 应该怎么减少占用的LE

[复制链接]

出0入0汤圆

发表于 2011-5-31 17:16:07 | 显示全部楼层 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity gps is
port( clk : in std_logic;
       sclk : in std_logic;
           sout : out std_logic_vector(7 downto 0);
           c1 : out std_logic);
end;
architecture bhc of gps is
signal sclk_a : std_logic := '0';
begin
process(clk,sclk)
variable counter1 : std_logic_vector (7 downto 0):=(others => '0');
variable counter2 : integer := 0;
variable counter3 : integer := 0;
variable counter4 : integer := 0;
variable counter5 : integer := 0;
variable counter6 : integer := 0;
variable counter7 : integer := 0;
variable countern : std_logic_vector (7 downto 0):=(others => '0');
variable counterx : std_logic_vector (7 downto 0):=(others => '0');
variable countery : std_logic_vector (7 downto 0):=(others => '0');
variable counterz : integer := 0;
begin
if clk'event and clk = '1' then
   counter1 := counter1 + '1';----jingzhen jishu
   sclk_a <= sclk;
  if sclk_a = '0' and sclk = '1' then
    counter2 := counter2 + 1;
    if counter2 = 1 then
     counter1 := "00000000";
    else
     countery := counter1;
     counterx := counter1;
    end if;
   
    if counterx < "10000000" then
      counter3 := counter3 + conv_integer(counterx);---yushu leijia
    else
     countern := (counterx xor "11111111") + '1';-----qufan jiayi
     counter3 := counter3 - conv_integer(countern);
    end if;
    counter1 := "00000000";
  end if;




  
  if counter2 = 61 then----queding 60 ge gps xinhao
    counter6 := counter3 / 60;-----mei 60 gps jishu yilunhui qiu pingjun
           counter2 := 0;-----xiayige lunhui qinglin zhunbei
   counter3 := 0;-----tongshang
  end if;
end if;
if counter2 <= 60 then
   if counter6 >0 then
          counter7 := counter6 + 128;
          sout <= conv_std_logic_vector(counter7,8);
   else counter7 := counter6 + 128;
          sout <= conv_std_logic_vector(counter7,8);
   end if;
end if;
end process;
end bhc;



编译的时候显示占用800+logic  elements

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-6-1 08:55:15 | 显示全部楼层
你做了除法?ounter6 := counter3 / 60;-----mei 60 gps jishu yilunhui qiu pingjun
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表