搜索
bottom↓
回复: 0

vhdl的问题,请大神关注

[复制链接]

出0入0汤圆

发表于 2011-4-26 11:12:36 | 显示全部楼层 |阅读模式
本人写了一个抢答器的fpga程序,如下
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY qiangdaqi IS
    PORT(K,S1,S2,S3,S4,S5,S6,S7:IN STD_LOGIC;
       LED7S:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END qiangdaqi;
ARCHITECTURE one OF qiangdaqi IS
    SIGNAL ENA:STD_LOGIC;
    SIGNAL Q:STD_LOGIC_VECTOR(7 DOWNTO 1);
  BEGIN
    ENA<=NOT(Q(7) OR Q(6) OR Q(5) OR Q(4) OR Q(3) OR Q(2) OR Q(1));
    A_PRO:PROCESS(K,ENA)
     BEGIN
      IF K='0'THEN Q<="0000000";
      ELSIF ENA='1' AND S1='1'THEN Q<="0000001";
      ELSIF ENA='1' AND S2='1'THEN Q<="0000010";
      ELSIF ENA='1' AND S3='1'THEN Q<="0000100";
      ELSIF ENA='1' AND S4='1'THEN Q<="0001000";
      ELSIF ENA='1' AND S5='1'THEN Q<="0010000";
      ELSIF ENA='1' AND S6='1'THEN Q<="0100000";
      ELSIF ENA='1' AND S7='1'THEN Q<="1000000";
     END IF;
     CASE Q IS
       WHEN "0000000"=>LED7S<="0000";
       WHEN "0000001"=>LED7S<="0001";
       WHEN "0000010"=>LED7S<="0010";
       WHEN "0000100"=>LED7S<="0011";
       WHEN "0001000"=>LED7S<="0100";
       WHEN "0010000"=>LED7S<="0101";
       WHEN "0100000"=>LED7S<="0110";
       WHEN "1000000"=>LED7S<="0111";
       WHEN OTHERS=>LED7S<="0000";
     END CASE;
   END PROCESS A_PRO;
END one;
,编译能通过,但是功能仿真时总是出现Error: Zero-time oscillation in node "|qiangdaqi|LED7S[2]" at time 130.0 ns. Check the design or vector source file for combinational loop.
不知道为什么,希望能够得到帮助,不胜感激~

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表