搜索
bottom↓
回复: 2

小弟初学cpld请教大虾们一个关于获取信号上升沿和下降沿的问题

[复制链接]

出0入55汤圆

发表于 2011-4-20 16:12:05 | 显示全部楼层 |阅读模式
clk   :in std_logic;
cv_in :in std_logic;

signal cv_s :std_logic; --暂存
signal cvr  :std_logic; --上升沿
signal cvp  :std_logic; --下降沿

process(clk,cv_in)
begin
    if(clk'event and clk='1')then
        cv_s <= cv_in;
        cvr <= ((cv_s xor cv_in) and cv_in); --cvr=1 代表cv_in 上升沿到来
        cvp <= ((cv_s xor cv_in) and cv_s);  --cvp=1 代表cv_in 下升沿到来

    end if;
end process;

我想获取cv_in的上升沿和下降沿这段程序对吗?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-4-20 17:10:40 | 显示全部楼层
clk采到的信号序列中  10是下降沿
                     01是上升沿

出0入0汤圆

发表于 2011-4-20 17:36:32 | 显示全部楼层
回复【楼主位】jssd  龙
clk   :in std_logic;
cv_in :in std_logic;
signal cv_s :std_logic; --暂存
signal cvr  :std_logic; --上升沿
signal cvp  :std_logic; --下降沿
process(clk,cv_in)
begin
    if(clk'event and clk='1')then
        cv_s &lt;= cv_in;
        cvr &lt;= ((cv_s xor cv_in) and cv_in); --cvr=1 代表cv_in 上升沿到来
        cvp &lt;= ((cv_s xor cv_in) and cv_s);  --cvp=1 代表cv_in 下升沿到来
    end if;
end process;
我想获取cv_in的上升沿和下降沿这段......
-----------------------------------------------------------------------

可不可以仿真一下就知道了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表