搜索
bottom↓
回复: 0

请各位帮忙看一下这个小程序,为什么总是运行不了

[复制链接]

出0入0汤圆

发表于 2011-3-23 10:44:13 | 显示全部楼层 |阅读模式
请各位帮忙看一下这两个并串转换的小程序,为什么总是运行不了,谢谢

第一个:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all; --并串转换
ENTITY bingchuan IS
PORT (RESET :IN STD_LOGIC;
CLK : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ;
DOUT :OUT STD_LOGIC
);
END bingchuan ;

architecture bhv of bingchuan is

SIGNAL COUNT : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL DAOUT : STD_LOGIC ;
BEGIN
PROCESS (CLK,COUNT,RESET)
BEGIN
IF RESET='1' THEN COUNT <= "000";

ELSIF COUNT="111" THEN
COUNT<="000";
ELSE COUNT<=COUNT+1;
END IF;

END PROCESS;
PROCESS(CLK,COUNT,RESET)
BEGIN
IF RESET='1' THEN COUNT<="000";
ELSIF CLK'EVENT AND CLK='1' THEN
CASE COUNT IS
WHEN "000"=>

DAOUT <=DIN(7);
WHEN "001"=>
DAOUT <=DIN(6);
WHEN "010"=>
DAOUT <=DIN(5);
WHEN "011"=>
DAOUT <=DIN(4);
WHEN "100"=>
DAOUT <=DIN(3);
WHEN "101"=>
DAOUT <=DIN(2);
WHEN "110"=>
DAOUT <=DIN(1);
WHEN "111"=>
DAOUT <=DIN(0);
END CASE ;
end IF;

END PROCESS ;
DOUT <= DAOUT ;
END bhv ;

第二个:
library ieee;
use ieee.Std_Logic_1164.all;

entity bch is
port
(
rst,clk : in Std_Logic;
ser : in Std_Logic_Vector (7 downto 0 );
par : out Std_Logic
);
end bch;

architecture bhv of bch is
variable i : integer range 0 to 7;
signal count:std_logic;
begin
process(clk,rst)
begin
if rst='1' then i:='0';
elsif clk 'event and clk='1' then
if i='7' then i:='0';
else i:=i+'1';
end if;
end if;
end process;
process(clk ,i,rst)
begin
if rst='1' then i:='0';
elsif clk 'event and clk<='1' then

case count is
when '0' =>
count<=per(0);
when '1' =>
count<=per(1);
when '2' =>
count<=per(2);
when '3' =>
count<=per(3);
when '4' =>
count<=per(4);
when '5' =>
count<=per(5);
when '6' =>
count<=per(6);
when '7' =>
count<=per(7);
when others=>i<='0';
end case;
end if;
end process;
ser<=count;

end bhv;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表