搜索
bottom↓
回复: 0

VHDL设计的PS2键盘程序,谁能帮我看下

[复制链接]

出0入0汤圆

发表于 2011-3-19 22:14:41 | 显示全部楼层 |阅读模式
谁能帮我解释下这个PS2接口程序,还有就是仿真我看不懂,懂的高手可以加我QQ14213809指导下,感激不尽!
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ps2 is
    Port ( clk: in std_logic;
       ps2clk: in std_logic;
       ps2data: in std_logic;
       reset: in std_logic;
       result: out std_logic_vector(7 downto 0));
end ps2;

architecture Behavioral of ps2 is
signal ps2clk_r : std_logic_vector(2 downto 0);
signal ps2clkfall : std_logic;
signal q : std_logic_vector(11 downto 0);
signal ps2serialdata : std_logic_vector(10 downto 0) ;
begin

process(clk,reset)
begin
if reset='0' then
  ps2clk_r <= "000";
  elsif rising_edge(clk) then
     ps2clk_r(2) <= ps2clk_r(1);
  ps2clk_r(1) <= ps2clk_r(0);
  ps2clk_r(0) <= ps2clk;
end if;
end process;

ps2clkfall<='1' when ps2clk_r="110" else '0';

process(clk)
begin
if rising_edge(clk) then
  if reset='0' then q <= (others =>'0');
   elsif ps2clkfall='1' then
    if q(0)='0' then
     q <= ps2data & "01111111111";
    else
     q <= ps2data & q(11 downto 1);
            end if;
        end if;
    end if;
end process;

process(q)
begin
if q(0) = '0' then
  ps2serialdata <= q(11 downto 1);
  result <=ps2serialdata(8 downto 1);
else
  result <="11111111";
end if;
end process;
end Behavioral;
谁能帮我解释下,还有就是仿真我看不懂,懂的高手可以加我QQ14213809指导下,感激不尽!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表