搜索
bottom↓
回复: 4

新手学习Verilog 遇到的问题请教各位!

[复制链接]

出0入0汤圆

发表于 2011-3-11 11:49:03 | 显示全部楼层 |阅读模式
学习Verilog时,看到书中有这样一段程序:

module random_pulse( dout );
   output [9:0] dout;  //定义10位输出变量
    reg dout;          //声明该变量为寄存器变量,没有说明位数,默认不是1位寄存器吗?
   ..
   ..
   ..
endmodule

  dout变量先定义为10位,之后声明是1位寄存器变量,合乎语法吗?还有,有时说明变量为input 或output,然后还要说明是reg型,有时候不用说明,在什么情况需要说明,什么情况不需要说明?请教各位指点,多谢!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-3-11 11:51:59 | 显示全部楼层
自己先顶一下。

出0入0汤圆

发表于 2011-3-11 18:36:14 | 显示全部楼层
基本语法,看书就知道了。

出0入0汤圆

发表于 2011-3-12 12:05:31 | 显示全部楼层
output [9:0] dout;
reg dout;
这种写法是正确的。这两个语句和下面的两种语句功能相同
1.
output [9:0] dout;
reg [9:0] dout;
2.
output dout;
reg [9:0] dout;
你可以分别用不同的语句写这个代码,然后看看rtl schematic视图,可以看到dout都是10位输出。我建议你还是按照第一种写法来写,这样不会引起别人的误解。
至于为什么要用reg定义变量,是因为在always语句块里面,赋值的类型必须是reg类型的变量。还要注意的是,不能在多个always语句块里面对同一个reg变量多次赋值。当然reg还有其它的用处,书上有完整的解释。
因为我是上个学期开始学verilog,讲的不对的地方,请大家多多指教。
不过呢,这种问题我觉得你还是应该多看看书再来问,毕竟这是基本的语法问题。

出0入0汤圆

发表于 2011-3-27 23:34:07 | 显示全部楼层
输出一般定义 wire reg
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表