搜索
bottom↓
回复: 2

VHDL程序求解---LED闪烁

[复制链接]

出0入0汤圆

发表于 2011-2-23 13:17:25 | 显示全部楼层 |阅读模式
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY led IS
PORT
(
clk:IN STD_LOGIC;
yout:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END;
ARCHITECTURE behave OF led IS
BEGIN
PROCESS(clk)
VARIABLE temp:STD_LOGIC_VECTOR(31 DOWNTO 0);
VARIABLE way:STD_LOGIC_VECTOR(7 DOWNTO 0):="00000000";
BEGIN
        IF (clk'EVENT AND clk='1')
                THEN temp := temp + 1;
                        IF (temp=5)
                                THEN way := way XOR "11111111";temp:=(OTHERS=>'0');
                        END IF;
        END IF;
        yout  <= way;
END PROCESS;
END;

这是程序是正确的,但将
        IF (clk'EVENT AND clk='1')
                THEN temp := temp + 1;
                        IF (temp=5)
                                THEN way := way XOR "11111111";temp:=(OTHERS=>'0');
                        END IF;
        END IF;

改成:
        IF (clk'EVENT AND clk='1')
                THEN temp := temp + 1;
        END IF;
        IF (temp=5)
                THEN way := way XOR "11111111";temp:=(OTHERS=>'0');
        END IF;
则不能正常工作(能通过编译,但输出不取反)
小弟百思不得其解

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-2-23 14:47:13 | 显示全部楼层
IF (clk'EVENT AND clk='1')
THEN temp := temp + 1;
END IF;

end if 了就完了;下面的判断和上面的就没有关系了
你应该看看rtl图,就知道了

出0入0汤圆

发表于 2011-2-23 16:18:59 | 显示全部楼层
temp  是变量。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表