搜索
bottom↓
回复: 5

大家好,modelsimaltera仿真怎么没有输出波形,时钟和复位信号都有!!!

[复制链接]

出0入0汤圆

发表于 2011-2-7 13:39:28 | 显示全部楼层 |阅读模式
按照特权同学的教程写的一个蜂鸣器实验,仿真的输出信号怎么不对啊,请教高人解答,我是新手。。。
一下是verilog代码:

module ex3(clk, rst_n,fm);
input clk;
input rst_n;
output fm;

reg [5:0] cnt;

always @(posedge clk or negedge rst_n)
     if(!rst_n) cnt <= 6'd0;
          else if(cnt < 6'd49) cnt <= cnt+1'b1;
          
          
assign fm = (cnt <= 6'd24) ? 1'b0 : 1'b1;


endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-2-7 13:42:02 | 显示全部楼层
回复【楼主位】kerenyang
-----------------------------------------------------------------------


这是仿真的输出波形 (原文件名:00.JPG)

出0入0汤圆

 楼主| 发表于 2011-2-7 13:44:01 | 显示全部楼层
回复【1楼】kerenyang
-----------------------------------------------------------------------

以下是testbench,请教高人解答,不胜感激

`timescale 1ps/1ps
module ex3_vlg_tst();
// constants                                          
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst_n;
// wires                                               
wire fm;

// assign statements (if any)                          
ex3 i1 (
// port map - connection between master ports and signals/registers   
        .clk(clk),
        .fm(fm),
        .rst_n(rst_n)
);
initial        begin  

        clk=0;
                        forever
                        #10 clk=~clk;
end                                                   


initial        begin  
               
                rst_n=0;
                #1000;
                rst_n=1;
                #5000;
                $stop;
end
                                                  
endmodule

出0入0汤圆

发表于 2011-2-8 11:37:05 | 显示全部楼层
没看出波形有什么不对。

楼主的逻辑,不就是复位后,cnt从0计数到49后就停止计数,保持为49嘛。

而fm在cnt为0~24期间保持为低电平,之后保持高电平,49当然大于24,所以之后一直保持为高。

而eachvec,在testbench里面定义后从来没有用过,自然一直都是不定态。

出0入0汤圆

 楼主| 发表于 2011-2-8 11:48:49 | 显示全部楼层
回复【3楼】linuxpro
-----------------------------------------------------------------------
谢谢楼上的回答,cnt忘记清零了,刚刚搞着东西,非常感谢啊!

出0入0汤圆

发表于 2011-2-8 18:38:06 | 显示全部楼层
红色的好像是没有初值,所以显示红色,赋初值就可以了。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:21

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表