搜索
bottom↓
回复: 12

在时钟的上升沿和下降沿都对触发always块好不好?

[复制链接]

出0入0汤圆

发表于 2011-2-6 21:01:42 | 显示全部楼层 |阅读模式
想写个接口模块,需要把时钟倍频,但是pll已经被占用了。

如果在always块的敏感信号列表里写上时钟的上升沿和下降沿好不好?

听到一个说法是时钟信号的下降沿质量不如上升沿,所以尽量不要使用。

你们有没有写过这种模块?求教下,谢谢。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-2-6 21:28:56 | 显示全部楼层
一定要的话是可以写出两个时钟沿的数据输入,但是不是同一个进程

出0入0汤圆

 楼主| 发表于 2011-2-6 21:47:23 | 显示全部楼层
回复【1楼】888888888888  
一定要的话是可以写出两个时钟沿的数据输入,但是不是同一个进程
-----------------------------------------------------------------------

进程指的是always块吗?为什么不能在同一个进程里呢?

出0入0汤圆

发表于 2011-2-6 22:10:31 | 显示全部楼层
内部触发器支持双沿触发的器件很少
对一般器件来说,资源使用率要翻倍还不止
时钟频率有多高?如果在100M以下,且对相位没有要求的话,用组合逻辑倍频就是了

出0入0汤圆

 楼主| 发表于 2011-2-6 22:20:13 | 显示全部楼层
回复【3楼】h2feo4  无机酸
内部触发器支持双沿触发的器件很少
对一般器件来说,资源使用率要翻倍还不止
时钟频率有多高?如果在100m以下,且对相位没有要求的话,用组合逻辑倍频就是了
-----------------------------------------------------------------------

时钟频率33M。

组合逻辑倍频的意思是把原时钟,和原时钟做反相后的时钟,都写进敏感信号列表里吗?

出0入0汤圆

发表于 2011-2-7 09:22:06 | 显示全部楼层
xilinx的器件可以支持双沿触发。

出0入0汤圆

 楼主| 发表于 2011-2-7 09:37:09 | 显示全部楼层
回复【5楼】suipeng70  
xilinx的器件可以支持双沿触发。

-----------------------------------------------------------------------

我目前用的是Altera的Cyclone,比较老。

出0入0汤圆

发表于 2011-2-7 09:42:44 | 显示全部楼层
alwaya @ (posedge clock or negedge clock)应该会报错。
建议用1楼的方法。

出0入0汤圆

发表于 2011-2-7 10:51:09 | 显示全部楼层
回复【4楼】duck8880
-----------------------------------------------------------------------

不是
举例

(原文件名:倍频.PNG)

出0入0汤圆

 楼主| 发表于 2011-2-7 11:53:22 | 显示全部楼层
回复【8楼】h2feo4  无机酸
回复【4楼】duck8880
-----------------------------------------------------------------------
不是
举例

(原文件名:倍频.png)
-----------------------------------------------------------------------

谢谢,CLR是异步清零吧,这个电路的效果是不是在CLK上升沿和下降沿到来时,CLK2X先上升,经过很短的时间后再下降?

那么有几个问题:
1. 这种电路可靠性如何?会不会来不及触发?
2. 如果时钟频率高于100M,这样做会有什么问题?
3. 如果写:alwaya @ (posedge clock or posedge ~clock)是什么结果?是报错还是资源占用很大?
4. 想要倍频时钟,最标准的办法是不是还是PLL?
5. 最后,这累技巧会在什么书上讲啊?

请不吝赐教。

出0入0汤圆

发表于 2011-2-7 13:42:32 | 显示全部楼层
回复【9楼】duck8880
-----------------------------------------------------------------------

是的

1:这个电路在典型的低端FPGA上(Spartan-3A)应该能产生一个3ns或更宽的脉冲,足以非常可靠的触发其它电路,我用过比这个窄得多的,也能正确工作

2:如果时钟周期短于该电路输出脉宽的两倍,可能造成丢脉冲。我估计我的图工作到150M还是没问题的,更高难说

3:有些器件能用,有些会报错

4:不一定,看需求

5:很多数字设计的书上会讲用延迟线和异或门来倍频,不过在FPGA中,综合器可能会胡乱优化导致该结构失效,需要较复杂的约束才能保证其可用。8楼的图不加约束就可以稳定工作

出0入0汤圆

 楼主| 发表于 2011-2-7 13:53:50 | 显示全部楼层
回复【10楼】h2feo4  无机酸
-----------------------------------------------------------------------

哦,哈哈,十分感谢。有比较好的讲数字设计技巧的书可推荐吗?

出0入0汤圆

发表于 2011-2-7 14:50:36 | 显示全部楼层
2. 如果时钟频率高于100M,这样做会有什么问题?
3. 如果写:alwaya @ (posedge clock or posedge ~clock)是什么结果?是报错还是资源占用很大?
-----------------------------------------------------------------------
首先,不在乎相位是前提条件,你出来的时钟不是50%等占空比的,而且频率越高,占空比偏移越大。
偏移量取决于所用器件的门延迟时间。
这样的结果是:
1:setup 和hold会不会有问题?
2:再偏移加大时,会丢脉冲,也就没办法工作了。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:22

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表