搜索
bottom↓
回复: 2

帮忙在pudn上下载一个文件。谢谢!

[复制链接]

出0入0汤圆

发表于 2011-1-26 17:32:12 | 显示全部楼层 |阅读模式
http://www.pudn.com/downloads110/sourcecode/embed/detail455542.html
谁有pudn帐号,帮忙下载一下。谢谢。
windinsky12@163.com

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-1-26 19:57:13 | 显示全部楼层
点击此处下载 ourdev_613487CK2RPB.rar(文件大小:1K) (原文件名:00922071store.vhd.rar)

出0入0汤圆

发表于 2011-1-26 19:59:49 | 显示全部楼层
回复【1楼】sufeila
点击此处下载  (原文件名:00922071store.vhd.rar)  

-----------------------------------------------------------------------
不是.rar  是直接.vhd
看下面


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity store is
port( datain : in std_logic_vector(31 downto 0);
clk : in std_logic;
stop : in std_logic;
reset : in std_logic;
rw : in std_logic;
dataout : out std_logic_vector(31 downto 0);
addr : out std_logic_vector(17 downto 0);
ce_n : out std_logic;
we_n : out std_logic;
ready : out std_logic
);
end entity;

architecture arch_store of store is
signal addr_cache : std_logic_vector(17 downto 0) :=
"000000000000000000";
signal ready_cache : std_logic := '0';
signal state : integer range 0 to 2 := 0;
begin

ready <= ready_cache;
process(clk)
begin
if(clk 'event and clk = '1') then
if(reset = '1') then
addr_cache <= "000000000000000000";
ready_cache <= '0';
state <= 0;
elsif(reset = '0' and ready_cache = '0' and stop = '0') then

if(state = 0) then
addr <= addr_cache;
elsif(state = 1) then
ce_n <= '0';
we_n <= rw;
if(rw = '0') then
dataout <= datain;
end if;
elsif(state = 2) then
--if(rw = '1') then
-- datain <= dataout;
--end if;
ce_n <= '1';
we_n <= '1';
if(addr_cache = "111111111111111111") then
ready_cache <= '1';
else
addr_cache <= addr_cache + 1;
end if;
end if;
if(state = 2) then state <= 0;
else state <= state + 1;
end if;
elsif(reset = '0' and ready_cache = '0' and stop = '1') then
state <= 0;
ce_n <= '1';
we_n <= '1';
end if;
end if;
end process;
end architecture;
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:30

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表