搜索
bottom↓
回复: 7

verilog keyscan 看不懂,哪位能讲解下

[复制链接]

出0入0汤圆

发表于 2010-12-16 15:30:45 | 显示全部楼层 |阅读模式
很简单的一段键盘扫描程序,看不懂
  最主要的是每个时钟上升沿always @ ( posedge clk  or negedge rst_n )
  时,里面的每小段程序不是都会执行到吗?这样 20ms的延时有毛用呢?
  
  另外这句 wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
  不是永远为0吗?怎么会出现按下某键时某一位为1的情况
  
  

`TImescale 1ns/1ns



module keyscan(

    clk,      

    rst_n,

    sw1_n,

    sw2_n,

    sw3_n,

    //output

    led_d3,

    led_d4,

    led_d5

    );



  input   clk;           //主时钟信号,48MHz

  input   rst_n; //复位信号,低有效

  input   sw1_n,sw2_n,sw3_n; //三个独立按键,低表示按下

  output  led_d3,led_d4,led_d5; //发光二极管,分别由按键控制



  // ---------------------------------------------------------------------------



  reg [19:0]  cnt;      //计数寄存器

  always @ (posedge clk  or negedge rst_n)

    if (!rst_n)           //异步复位

      cnt <= 20'd0;

    else

      cnt <= cnt + 1'b1;

  

  reg  [2:0] low_sw;

  always @(posedge clk  or negedge rst_n)

    if (!rst_n)

      low_sw <= 3'b111;

    else if (cnt == 20'hfffff)  //满20ms,将按键值锁存到寄存器low_sw中

      low_sw <= {sw3_n,sw2_n,sw1_n};

      

  // ---------------------------------------------------------------------------

  

  reg  [2:0] low_sw_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

  always @ ( posedge clk  or negedge rst_n )

    if (!rst_n)

      low_sw_r <= 3'b111;

    else

      low_sw_r <= low_sw;

   

         //当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期

  wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);



  reg d1;

  reg d2;

  reg d3;

  

  always @ (posedge clk or negedge rst_n)

    if (!rst_n)

      begin

        d1 <= 1'b0;

        d2 <= 1'b0;

        d3 <= 1'b0;

      end

    else

      begin              //某个按键值变化时,LED将做亮灭翻转

        if ( led_ctrl[0] ) d1 <= ~d1;   

        if ( led_ctrl[1] ) d2 <= ~d2;

        if ( led_ctrl[2] ) d3 <= ~d3;

      end



  assign led_d5 = d1 ? 1'b1 : 1'b0;              //LED翻转输出

  assign led_d3 = d2 ? 1'b1 : 1'b0;

  assign led_d4 = d3 ? 1'b1 : 1'b0;

  

endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-12-16 15:44:12 | 显示全部楼层
always @(posedge clk  or negedge rst_n)

    if (!rst_n)

      low_sw <= 3'b111;

    else if (cnt == 20'hfffff)  //满20ms,将按键值锁存到寄存器low_sw中

      low_sw <= {sw3_n,sw2_n,sw1_n};

假如按键由111变为110

不是马上又执行

reg  [2:0] low_sw_r;       //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

  always @ ( posedge clk  or negedge rst_n )

    if (!rst_n)

      low_sw_r <= 3'b111;

    else

      low_sw_r <= low_sw;

//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期

  wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

low_sw_r不是马上也变为110,


这样就不会发生 low_sw 为0 和low_sw_r为1的情况啊! 这点想不通

出0入0汤圆

 楼主| 发表于 2010-12-16 16:04:03 | 显示全部楼层
ddd!

出0入0汤圆

发表于 2010-12-16 16:55:40 | 显示全部楼层
这是特权的源码吧,去ednchina上cpld/FPGA助学小组,或者他的blog里,有详细的源码说明

出0入0汤圆

 楼主| 发表于 2010-12-16 20:19:44 | 显示全部楼层
ddd!

出0入0汤圆

发表于 2010-12-17 11:32:35 | 显示全部楼层
楼主的问题在于对时序逻辑和组合逻辑的数据变化特点不清楚。

always @(posedge clk  or negedge rst_n)
这是时序逻辑,寄存器只会在clk的上升沿的时候进行变化。

wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);
这是组合逻辑,数据会立刻变化。


根据实际的来说明:
1: low_sw <= {sw3_n,sw2_n,sw1_n};
假如按键由111变为110

2: low_sw_r <= low_sw;

楼主认为1和2会同时执行,导致low_sw和low_sw_r会同时变成110.实际上是low_sw_r会晚一个时钟周期变化。

有两种理解方式:
方式一: 1和2会同时执行,但寄存器值的变化需要一点时间。因此2执行时,low_sw还是原始的111,因此第一个clk沿来临时,low_sw变成了110,但low_sw_r还是111。等到第二个时钟沿来临时,low_sw_r才变成110.

方式二:用硬件的方式来理解,相当于两个寄存器级联。根据寄存器的特性,就知道low_sw_r的任何变化,都要晚low_sw一个时钟周期。

出0入0汤圆

发表于 2010-12-23 13:31:57 | 显示全部楼层
回复【楼主位】embeddev  
-----------------------------------------------------------------------
呵呵,5楼的说法很对,其实呢,你可以做个试验,就是用Modelsim仿真下,在sw那里加下时间长度不同的低脉冲,然后通过Wave窗口看看
low_sw,和low_sw_r的波形,就理解了。注意一点,如果要实现按键效果,加的脉冲长度要长于你
  “always @(posedge clk  or negedge rst_n)

    if (!rst_n)

      low_sw <= 3'b111;

    else if (cnt == 20'hfffff)  //满20ms,将按键值锁存到寄存器low_sw中

      low_sw <= {sw3_n,sw2_n,sw1_n}; ”
这段代码的延时。
好运

出0入0汤圆

发表于 2011-10-30 11:47:42 | 显示全部楼层
mark
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表