搜索
bottom↓
回复: 5

请教个Verilog的问题,困扰了两天了

[复制链接]

出0入0汤圆

发表于 2010-12-10 23:44:06 | 显示全部楼层 |阅读模式
我做的程序是这样子的,用CPLD控制数码管,每个数码管用74LS373锁存和驱动。几个数码管的数据端是连起来的,用锁存信号进行信号的选择。
例如8个数码管,数据为LED_data,锁存为LED_latch 都是[7:0],但是用CPLD控制数码管显示的时候,怎么也出错,不知道该怎么产生锁存信号
,大家给个建议哈,感谢了

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-12-10 23:48:53 | 显示全部楼层
就是怎么用verilog产生一个脉冲,用事件启动的脉冲,单一的一个锁存脉冲

出0入0汤圆

发表于 2010-12-11 01:57:41 | 显示全部楼层
如果是不会写的话。建议先多看一些典型设计再开始自己的设计。

出0入0汤圆

发表于 2010-12-11 08:24:30 | 显示全部楼层
要代码的...

出0入0汤圆

发表于 2010-12-11 11:04:00 | 显示全部楼层
入门很快,找下书上的几个程序修改

出0入0汤圆

发表于 2010-12-11 15:28:44 | 显示全部楼层
http://blog.ednchina.com/akuei2/1942174/message.aspx

看这一本笔记吧,抱你消除一大堆的Verilog HDL难题
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表