搜索
bottom↓
回复: 0

急求!!!ISE 时序仿真,setup time 报错

[复制链接]

出0入0汤圆

发表于 2010-12-3 12:53:04 | 显示全部楼层 |阅读模式
ISE11.1,调用modelsim6.5a联合仿真,在post place&route 仿真时,setup time出现错误(这个问题都折腾快一个星期了,差了很多资料,还是搞不清楚...):
/********************************************************************************************************************************************/
** Error: G:/Xilinx/11.1/ISE/verilog/src/simprims/X_FF.v(99): $setup( posedge CE &&& (ce_clk_enable1 != 0):469394 ps, posedge CLK:469534 ps, 554 ps );
#    Time: 469534 ps  Iteration: 2  Instance: /testbench/uut/\U_TXPAR_BUFADDR/BU30
# ** Error: G:/Xilinx/11.1/ISE/verilog/src/simprims/X_FF.v(100): $setup( negedge CE &&& (ce_clk_enable1 != 0):3268694 ps, posedge CLK:3268834 ps, 554 ps );
#    Time: 3268834 ps  Iteration: 0  Instance: /testbench/uut/\U_TXPAR_BUFADDR/BU36
...
/********************************************************************************************************************************************/
    之前的功能仿真和,post-translate 和 post-map仿真都没问题,问题应该是出在布局布线以后的延时不满足上了,
不过我现在对于时序约束这一块不是很熟,精力都放在算法上了,想请教一下,一般怎么消除setup  time 的错误啊,降频就算了...
    另外,出错单元是一个21位计数器
counter21 U_TXPAR_BUFADDR (                              //counter21 for buffer addresses generation
    .Q(TXPAR_BUFADDR),
    .CLK(MAC_CLK),
    .Q_THRESH1(THRESH1),                                                //用于标识21位参数已经计数完毕
    .CE(TXSTART_REQ),                                                        //TXSTART_REQ到来后,启动TXPAR_BUFADDR计数
    .AINIT(DATA_REQ));

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表