搜索
bottom↓
回复: 6

问个不起眼的问题 ,output的reg赋值为高阻可以输出高阻吗?

[复制链接]

出0入0汤圆

发表于 2010-11-30 10:45:03 | 显示全部楼层 |阅读模式
做一个并行总线,不知该用寄存器reg还是用wire来输出数据,并实现高阻,帮忙看下~~

reg [15:0] Dat;

        if( (Addrslatch==16'H0001) || (RWn==1'b1) )
                Dat = cnumber;
                else Dat = 16'bzzzz_zzzz_zzzz_zzzz;//

编译没有问题,就是不知能不能实现~~

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-11-30 10:46:59 | 显示全部楼层
因为我只见过线是可以高阻的,reg是怎样高阻的啊,。。{{{(>_<)}}}

出0入0汤圆

发表于 2010-11-30 10:56:06 | 显示全部楼层
代码是可以这样写的。可不可以实现要单具体的综合器

出0入0汤圆

发表于 2010-11-30 11:21:54 | 显示全部楼层
高阻态最后是在io pad处实现的,不是在register处实现的

出0入0汤圆

 楼主| 发表于 2010-11-30 12:55:13 | 显示全部楼层
回复【2楼】usingavr
代码是可以这样写的。可不可以实现要单具体的综合器
-----------------------------------------------------------------------

我是用的Quartus 9.0,

这样写会有问题吗?,怎么改好呢?。。

出0入0汤圆

发表于 2010-11-30 14:59:30 | 显示全部楼层
回复【楼主位】Ian11122840  船儿
做一个并行总线,不知该用寄存器reg还是用wire来输出数据,并实现高阻,帮忙看下~~
reg [15:0] dat;
        if( (addrslatch==16'h0001) || (rwn==1'b1) )
                dat = cnumber;
                else dat = 16'bzzzz_zzzz_zzzz_zzzz;//
编译没有问题,就是不知能不能实现~~

-----------------------------------------------------------------------

大概是这样吧,很久没写verilog了,不保证对
reg [15:0] dat;
always @(addrslatch or rwn or cnumber) begin
    dat <= ((addrslatch == 16'h0001) || (rwn == 1'b1))
            ? cnumber
            : 16'dz;
end

出0入0汤圆

 楼主| 发表于 2010-11-30 19:37:03 | 显示全部楼层
回复【5楼】usingavr
-----------------------------------------------------------------------

谢啦~~
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表