搜索
bottom↓
回复: 2

请教关于vhdl进程的问题

[复制链接]

出0入0汤圆

发表于 2010-11-15 13:12:03 | 显示全部楼层 |阅读模式
下面是个3-8译码器的vhdl程序。
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (sel:in std_logic_vector(2 downto 0);
enable :std_logic;
y:out std_logic_vector(7 downto 0));
end decoder;
architecture rt1 of decoder is
signal clk:bit :='0';
begin
process (clk)
begin
y<="11111111";
if enable='1' then
case sel is
when "000"=>y(0)<='0';
when "001"=>y(1)<='0';
when "010"=>y(2)<='0';
when "011"=>y(3)<='0';
when "100"=>y(4)<='0';
when "101"=>y(5)<='0';
when "110"=>y(6)<='0';
when "111"=>y(7)<='0';
end case;
end if;
end process;
end rt1;

功能仿真图 (原文件名:viewfile.jpg)
功能仿真表明译码功能是可以实现的,我不能理解的是,我们所说的进程只有在 敏感信号发生变化时才被触发的,而这个例子中的敏感信号在开始就已经赋初值了,那么它为什么还能触发进程的执行呢?是否说这个赋初值语句本身就在不断地刷新呢?谢谢~

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-11-15 13:26:36 | 显示全部楼层
加个初始值仿真

出0入0汤圆

发表于 2010-11-19 21:39:33 | 显示全部楼层
仿真的时候,进程会先跑一次,赋初值,然后直到敏感信号触发进程。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表