搜索
bottom↓
回复: 10

VHDL编程:原理很简单,用一个信号的下降沿产生一个脉冲,脉冲宽度1微秒。

[复制链接]

出0入0汤圆

发表于 2010-11-9 15:56:10 | 显示全部楼层 |阅读模式
来一个信号的下降沿,产生一个脉冲。该脉冲的上升沿需要和信号的下降沿严格对齐。用VHDL语言如何实现?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-11-9 20:51:45 | 显示全部楼层
脉冲的上升沿需要和信号的下降沿  不可能  对齐,总会有滞后。当然可以做到NS级。

出0入0汤圆

 楼主| 发表于 2010-11-10 17:48:33 | 显示全部楼层
回复【1楼】40130064  博德之门IV
脉冲的上升沿需要和信号的下降沿  不可能  对齐,总会有滞后。当然可以做到ns级。
-----------------------------------------------------------------------

请问如何做呢?谢谢了。

出0入0汤圆

发表于 2010-11-10 17:56:56 | 显示全部楼层
用高速的CPLD 一个非门,一个计数器就行

出0入0汤圆

发表于 2010-11-10 22:19:41 | 显示全部楼层
来一个信号的下降沿,产生一个脉冲

你这个东西不是一个 反向器 非门吗 。
输入端平时是1,变到0 就是下降沿 。
输出就是上升沿
一个输入一个输出  管脚输入 到内部 到管脚输出 延时 肯定不能绝对对齐的嘛。

出0入0汤圆

发表于 2010-11-12 21:45:53 | 显示全部楼层
个人觉得这个想着简单,做起来不是那么简单。高手们试试!!

出0入0汤圆

 楼主| 发表于 2010-11-13 10:51:14 | 显示全部楼层
各位说的很有道理,但是具体操作起来确实不容易啊…………

出0入0汤圆

 楼主| 发表于 2010-11-13 11:00:35 | 显示全部楼层
可能我的问题描述有歧义。
(原文件名:未命名.jpg) 上面信号产生下面信号

出0入0汤圆

发表于 2010-11-13 13:30:19 | 显示全部楼层
有道理

出0入0汤圆

发表于 2010-11-13 17:24:26 | 显示全部楼层
我教你吧

先用D触发器把输入脉冲A延时1US(D的CLK)得到B.
再把A反相得到C
再把C和B与一下就得到了要的脉冲.

但有条件 A脉宽要大于大于1US.
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表