搜索
bottom↓
回复: 2

modelsim仿真问题

[复制链接]

出0入0汤圆

发表于 2010-10-13 10:03:02 | 显示全部楼层 |阅读模式
小弟编了一个很简单的时钟分频程序 在quartus上的功能仿真中可以仿出波形 但是在modelsim中却没有波形

工程顶层模块入口为clkdiv.v   clkdiv_test.v为在工程下建立的又一.v文件

分频代码如下:
module clkdiv(out,clk);
input clk;
output out;

reg[2:0] i;


always@(posedge clk)
begin
        i<=i+1'b1;
end
assign out=(i[2]==1)? 1'b1:1'b0;

endmodule


测试代码如下:

`timescale 1ns / 1ps
module clkdiv_test;
reg mclk;
wire q;
always  #10 mclk=~mclk;
initial
begin
mclk=0;
end
clkdiv clkdiv(
                                                                        .clk        (mclk),
                                                                        .out    (q)                                                                        );
endmodule

请教各位 为什么modelsim的输出始终是X?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-10-13 10:42:28 | 显示全部楼层
你要是没有初值,运行得再长也是X的
因为X取反仍是X

出0入0汤圆

 楼主| 发表于 2010-10-13 14:32:51 | 显示全部楼层
问题已解决 就是i没有初值  谢谢
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:34

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表