搜索
bottom↓
回复: 3

新手问题,关于modelsim的,有用modelsim的,帮忙解答下,不急!!!!!

[复制链接]

出0入0汤圆

发表于 2010-10-9 10:59:51 | 显示全部楼层 |阅读模式
刚学FPGA,对仿真工具有些疑问,用的是quartus 10 + modelsim 6.5
quartus可以显示 $display 信息吗?
modelsim中transcript中只显示顶层测试文件中的 $display ,底层文件的 $display 如何显示?
有人说可以在sim中看$display,我不知道怎么看?
网上信息很少,是不是用的人不多啊!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-10-10 09:42:54 | 显示全部楼层
上个问题还没弄明白 又有疑问了 我的仿真时 output为什么是高阻态?

程序就是 对 a b 进行运算 通过 opcode 进行选择运算方式,输出结果out ,但结果除末尾外都呈高阻态? 我是新手,希望知道的大侠指点一下,感激。。

alu.v
`define plus 3'd0
`define minus 3'd1
`define band 3'd2
`define bor 3'd3
`define unegate 3'd4
module alu(out,opcode,a,b);
  input [7:0]a,b;
  input [2:0]opcode;
  output [7:0]out;
  reg out;
  always @(opcode or a or b)
    begin
          case(opcode)
                     `plus: out=a+b;
                          `minus: out=a-b;
                          `band: out=a&b;
                          `bor: out=a|b;
                          `unegate: out=~a;
                          default: out=8'hx;
                endcase
         end
endmodule

alu_top.v //测试文件
`timescale 1ns/1ns
module alu_top;
  wire [7:0]out_top;
  reg [7:0]a,b;
  reg [2:0]opcode;
  parameter times=5;
  initial
    begin
           a={$random}%256;
           b={$random}%256;
           opcode=3'h0;
                repeat(times)
                  begin
                    #100
                    a={$random}%256;
                     b={$random}%256;
                    opcode=opcode+1;
                  end
                  #100 $stop;
         end
         alu i1(out_top,opcode,a,b);
endmodule
仿真波形图:

(原文件名:alu波形.png)

出0入0汤圆

发表于 2010-10-27 17:05:16 | 显示全部楼层
新手求助,我安装的是quartus II 10.0 ,和这个版本匹配的modelsim 6.5se 不知道怎么破_解?一直用不了,貌似quartus 10没有自带仿真工具。望大家帮忙解决一下。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:29

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表