搜索
bottom↓
回复: 12

综合的时候没法用initial赋初值,那大家是怎么给计数器付初值的呢?

[复制链接]

出0入0汤圆

发表于 2010-9-28 15:16:29 | 显示全部楼层 |阅读模式
据说initial语句是不会被综合的,果然

今天编了这种语句
initial begin
                cnumber<=16'h1000;//初值32768
                end

//use ain bin to TEST!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
always@(negedge ain or negedge bin)
        begin
        if(ain==0)cnumber<=cnumber+1;
        else if(bin==0)cnumber<=cnumber-1;
        end





本来是想给cnumber初值为32768的(16为二进制代码的一半的位值),但是发现不能用啊,编译成的程序,总是从cnumber=0的时候开始走。大家是如何解决这个问题的啊?》。。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-9-28 15:21:27 | 显示全部楼层
always@(negedge ain or negedge bin or negedge rst)
begin
if(!rst)
    begin
      cnumber = 32768;
    end
  else if(ain==0)
     cnumber = cnumber+1;
  else if(bin==0)
     cnumber = cnumber-1;
  else
     cnumber = cnumber;
end

出0入0汤圆

 楼主| 发表于 2010-9-28 15:29:06 | 显示全部楼层
回复【1楼】bad_fpga
-----------------------------------------------------------------------


上电reset脉冲吗?汗

看来reset芯片的钱还是节约不下来啊...~~

出0入0汤圆

 楼主| 发表于 2010-9-28 15:30:10 | 显示全部楼层
哈哈 不管怎样问题解决了,谢谢喜洋洋哥~~

出0入0汤圆

发表于 2010-9-28 15:45:25 | 显示全部楼层
声明的时候直接赋值即可。譬如:
reg [7:0] led_r =8'hFF;

出0入0汤圆

 楼主| 发表于 2010-9-28 16:05:30 | 显示全部楼层
回复【4楼】yuphone .COM 缺氧&reg;
-----------------------------------------------------------------------

不行啊!我试了下,用上电复位就可以赋初值了

但是声明时赋值,运行的时候还是从0开始计数的!

出0入0汤圆

发表于 2010-9-28 16:10:37 | 显示全部楼层
用PLL的locked引脚做复位,不要用复位芯片了。。

出0入0汤圆

发表于 2010-9-28 17:12:10 | 显示全部楼层
可以不用复位芯片的,用一个寄存器作全局复位即可
always@(posedge clk)
begin
        if(Cont!=25000000)
        begin
                Cont        <=        Cont+ 1'b1;
                nreset        <=        0;
        end
        else
        nreset        <=        1;
end

把nreset连接各模块就可以了,如果要更严谨的复位的话,当然考虑的东西要多了

出0入0汤圆

发表于 2010-9-28 23:29:05 | 显示全部楼层
似乎ALTERA 的CPLD有一个设置,寄存器上电时赋初值为0

出0入0汤圆

发表于 2010-9-29 10:31:19 | 显示全部楼层
原来是这样赋初始值哈。

出0入0汤圆

 楼主| 发表于 2010-9-29 10:39:22 | 显示全部楼层
杯具死了,这句话老是不对!!提示有89个waring!!
而且硬件发现B键可以正常减计数,A键不能正常增计数(数值被复位了而且在0附近跳变),不知是为啥



(原文件名:未命名.JPG)

出0入0汤圆

 楼主| 发表于 2010-9-29 10:40:04 | 显示全部楼层
初值问题已解决,倒是已经赋值进去了

出0入0汤圆

发表于 2010-10-6 00:05:55 | 显示全部楼层
说句实在话,楼主的这几句代码根本不应该这么写。。。设计思路要改成同步时钟驱动计数器的方式
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表