搜索
bottom↓
回复: 4

求助 如何用verilog写一个单稳态触发器?

[复制链接]

出0入0汤圆

发表于 2010-9-25 13:56:15 | 显示全部楼层 |阅读模式
输入信号为A(不定期产生一个上升沿),clk(时钟),输出为Aout,

需要的是每个A的上升沿的下一个clk 的上升沿开始,输出一个宽度为clk周期的方波

always (posedge A)
begin
    @(posedge clk)Aout=A;
    #1 Aout=0;
end

这样的话可以吗?#1在实际当中会产生一个clk宽度的延迟吗?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-9-25 14:38:35 | 显示全部楼层
用个计数器就行了!
先用一时钟同步A信号,检测A的上升沿

reg [2:0] A_buf;
wire A_flag;

//同步A
always @(posedge clk)
begin
    A_buf <= {A_buf[1:0],A};
end
assign A_flag = (A_buf == 3'b011)? 1 : 0; //上升沿

always @(posedge clk)
begin
   if( A_flag )
   begin
      Aout <= 1;
      Cnt <= 0;   //计数器
   end
   else
   begin
      if( Cnt < WIDTH) //周期宽度
      begin
          Cnt <= Cnt + 1;
          Aout <= 1;
      end
      else
      begin
          Aout <= 0;
      end
   end
end

出0入0汤圆

 楼主| 发表于 2010-9-25 15:11:11 | 显示全部楼层
回复【1楼】cicnx
-----------------------------------------------------------------------

那么麻烦啊...⊙﹏⊙b

懂了,这个是把A的边沿信号,变成了A_FLAG的电平信号了~~~哈哈~~

出0入0汤圆

 楼主| 发表于 2010-9-25 16:25:56 | 显示全部楼层
LS的哥们啊,我仔细看了你那个程序,编译的时候说assign A_flag只能用到net类型上,需要把A_flag改为trireg类型~~~

而且我要的是1周期的脉冲,写成这样不是更好吗:

trireg [2:0] A_buf;
trireg A_flag;

        always @(posedge clk)
                begin
                A_buf <= {A_buf[1:0],f_en};
                end
        assign A_flag = (A_buf == 3'b011)? 1 : 0; //上升沿
        assign A_out = A_flag;

不就OK了嘛?...A_FLAG的周期恰好本来就是一个clk~~哈哈

出0入0汤圆

发表于 2010-9-26 08:14:58 | 显示全部楼层
不好意思! 我随手写的。 A_flag 是应该wire 类型

程序的意思就是可以现实任意周期,如你只要一个周期那用A_flag就可以了。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:36

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表