搜索
bottom↓
回复: 2

Verilog大虾帮我看下这段代码,下载后怎么会出现这样的问题?

[复制链接]

出0入0汤圆

发表于 2010-9-20 21:28:25 | 显示全部楼层 |阅读模式
我这段代码下载到板子上,LED怎么只轮流显示1,3,5,7,9啊?
module count_led
( input o,
   output[7:0] p_bus,
   output[5:0] st
  
);

reg[3:0] j=0;
reg[7:0] p=0;
reg[7:0] ld;

assign p_bus = ld;
assign st = 6'b111101;

always @(posedge o)
begin
   if(j<9)  j <= j+1;      
    else
         begin
            if(p<10)
                  begin
                   p <= p+1;
                   j <= 0;
                   end
                  else p <= 0;
                end
  end
   
always@(posedge p)
begin
  case ( p )
    8'h0 :   ld =  8'HC0 ;
    8'h1 :   ld =  8'HF9 ;
    8'h2 :   ld =  8'HA4 ;
    8'h3 :   ld =  8'HB0 ;
    8'h4 :   ld =  8'H99 ;
    8'h5 :   ld =  8'H92 ;
    8'h6 :   ld =  8'H82 ;
    8'h7 :   ld =  8'HF8 ;
    8'h8 :   ld =  8'H80 ;
    8'h9 :   ld =  8'H90 ;
    default  ld =  8'H96 ;
    endcase
end   
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-9-20 21:48:54 | 显示全部楼层
我这段代码下载到板子上,LED怎么只轮流显示1,3,5,7,9啊?
module count_led
( input o,
   output[7:0] p_bus,
   output[5:0] st
  
);

reg[3:0] j=0;
reg[7:0] p=0;
reg[7:0] ld;

assign p_bus = ld;
assign st = 6'b111101;

always @(posedge o)
   begin
   if(j<9)  
       j <= j+1;      
   else
       begin
       if(p<10)
           begin
           p <= p+1;
           j <= 0;
           end
       else
           p <= 0;
       end
    end
   
always@(posedge p)
  begin
  case ( p )
    8'h0 :   ld =  8'HC0 ;
    8'h1 :   ld =  8'HF9 ;
    8'h2 :   ld =  8'HA4 ;
    8'h3 :   ld =  8'HB0 ;
    8'h4 :   ld =  8'H99 ;
    8'h5 :   ld =  8'H92 ;
    8'h6 :   ld =  8'H82 ;
    8'h7 :   ld =  8'HF8 ;
    8'h8 :   ld =  8'H80 ;
    8'h9 :   ld =  8'H90 ;
    default  ld =  8'H96 ;
  endcase
  end   
endmodule

改这句:always@(posedge p)
改为  :always@( p )
试一下,行不行

出0入0汤圆

 楼主| 发表于 2010-9-20 22:59:37 | 显示全部楼层
这样一改也可的
reg型的数据好像不能用来作为上升沿触发信号
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表