搜索
bottom↓
回复: 4

求助 新手写的Verilog HDL,求修改

[复制链接]

出0入0汤圆

发表于 2010-9-2 14:24:30 | 显示全部楼层 |阅读模式
小弟刚开始自学Verilog HDL语言,根据电路图自己写了段程序,求高手帮忙修改。

(原文件名:1.jpg)
其中两个buf的延迟时间一样 前面两个DFF的输入端D均为高电平。

module delay(In1,In2,CLK,out
);
input In1,In2,CLK
output out;
reg A,B,C,E,F,G
assign In1<=1,In2<=1;
DFF1(D(In1),Q(A),CLK(Ref));
DFF2(D(In2),Q(B),CLK(Data));
assign C=~(A&E),E<=#1 din;
assign G=~(B&F),F<=#1 din;
DFF3(D(C),Q(out),CLK(G));
endmodule
module DFF(D,Q,CLK);
input D,CLK;
output Q;
always @(posedge CLK) begin
Q<=D;
end
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-9-2 17:13:37 | 显示全部楼层
嗯!
楼主先看看RTL级~的建模设计吧!

估计会更容易入门

出0入0汤圆

 楼主| 发表于 2010-9-2 18:43:49 | 显示全部楼层
回复【1楼】akuei2 回家阿贵猪
-----------------------------------------------------------------------

恩 谢谢建议,但是我现在写的这个哪里有问题啊 能帮我修改下么 现在写的不弄好,心理不舒坦&……

出0入0汤圆

发表于 2010-9-2 20:46:39 | 显示全部楼层
为什么你里面有DFF啊?我写的时候没遇到过这种写法。

出0入0汤圆

发表于 2010-9-2 22:36:30 | 显示全部楼层
你的电路图可以直接输入qii。不要再转成代码了。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:35

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表