搜索
bottom↓
回复: 4

在FPGA中进行组合逻辑运算,如何消除静态冒险

[复制链接]

出0入0汤圆

发表于 2010-8-26 17:03:27 | 显示全部楼层 |阅读模式
大家好,我有个项目问题很棘手。
当在FPGA中进行组合逻辑运算时,由于逻辑之间的门延迟不同,导致输出结果会产生毛刺,即为静态冒险。书上说用卡诺图来解决,但是在FPGA中怎么解决呢?
小弟请教大家,我把图传上来,如何能够消除这些毛刺?
万分感谢!


有很多寄存器和输出信号有毛刺 (原文件名:11.JPG)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-8-26 17:06:48 | 显示全部楼层
用时钟打,不过如果你的逻辑输出不是接下级的时钟,有毛刺也没有问题

出0入0汤圆

 楼主| 发表于 2010-8-26 17:10:03 | 显示全部楼层
回复【1楼】888888888888
-----------------------------------------------------------------------

您的意思是说只要组合逻辑的输出不是作为下级的时钟信号就可以吗?

出0入0汤圆

 楼主| 发表于 2010-8-26 17:14:51 | 显示全部楼层
组合逻辑程序,麻烦大家

//----------------------------------------------------------------------------------
always @(trig or not_ctr_fir or gate_ctr)
        begin
                choose_signal(trig[0], not_ctr_fir[0], gate_ctr[0], out_sec_1[0]);
                choose_signal(trig[1], not_ctr_fir[1], gate_ctr[1], out_sec_1[1]);
                choose_signal(trig[2], not_ctr_fir[2], gate_ctr[2], out_sec_1[2]);
                choose_signal(trig[3], not_ctr_fir[3], gate_ctr[3], out_sec_1[3]);
                choose_signal(trig[4], not_ctr_fir[4], gate_ctr[4], out_sec_1[4]);
                choose_signal(trig[5], not_ctr_fir[5], gate_ctr[5], out_sec_1[5]);
                out_thi_1 = & out_sec_1;
               
                choose_signal(trig[0], not_ctr_fir[6], gate_ctr[6], out_sec_2[0]);
                choose_signal(trig[1], not_ctr_fir[7], gate_ctr[7], out_sec_2[1]);
                choose_signal(trig[2], not_ctr_fir[8], gate_ctr[8], out_sec_2[2]);
                choose_signal(trig[3], not_ctr_fir[9], gate_ctr[9], out_sec_2[3]);
                choose_signal(trig[4], not_ctr_fir[10], gate_ctr[10], out_sec_2[4]);
                choose_signal(trig[5], not_ctr_fir[11], gate_ctr[11], out_sec_2[5]);
                out_thi_2 = & out_sec_2;
               
                choose_signal(trig[0], not_ctr_fir[12], gate_ctr[12], out_sec_3[0]);
                choose_signal(trig[1], not_ctr_fir[13], gate_ctr[13], out_sec_3[1]);
                choose_signal(trig[2], not_ctr_fir[14], gate_ctr[14], out_sec_3[2]);
                choose_signal(trig[3], not_ctr_fir[15], gate_ctr[15], out_sec_3[3]);
                choose_signal(trig[4], not_ctr_fir[16], gate_ctr[16], out_sec_3[4]);
                choose_signal(trig[5], not_ctr_fir[17], gate_ctr[17], out_sec_3[5]);
                out_fou_3 = & out_sec_3;
               
        end
//----------------------------------------------------------------------------------



//---------------------------------------------------
//task
task choose_signal;
        input        trig_r;
        input        not_ctr_fir_r;
        input        gate_ctr_r;
        output        out_sec_r;
       
        if(not_ctr_fir_r)
                begin
                        if(gate_ctr_r)        out_sec_r = ~trig_r;
                        else        out_sec_r = 1'b1;
                end
        else
                begin
                        if(gate_ctr_r)        out_sec_r = trig_r;
                        else        out_sec_r = 1'b1;
                end
endtask
//---------------------------------------------------


always @(out_thi_1 or not_ctr_sec[0])
        if(not_ctr_sec[0])        out_fou_1 = ~out_thi_1;
        else        out_fou_1 = out_thi_1;


always @(out_thi_2 or not_ctr_sec[1])
        if(not_ctr_sec[1])        out_fou_2 = ~out_thi_2;
        else        out_fou_2 = out_thi_2;


always @(not_ctr_third or out_fou_1 or out_fou_2 or out_fou_3)
        if(not_ctr_third)        out_logic = ~(out_fou_1 & out_fou_2 & out_fou_3);
        else        out_logic = out_fou_1 & out_fou_2 & out_fou_3;


应该是门延时造成的,请问应该怎么办?难道用时序逻辑来做吗?

出0入0汤圆

发表于 2010-8-26 18:16:10 | 显示全部楼层
在fpga中要坚持时序逻辑设计。等信号稳定以后再用沿采样。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:28

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表