搜索
bottom↓
回复: 3

请教一下,verilog hdl中,如何将10位无符号数赋值给11位有符号数?

[复制链接]

出0入0汤圆

发表于 2010-8-21 18:12:51 | 显示全部楼层 |阅读模式
请教一下,verilog hdl中,如何将10位无符号数赋值给11位有符号数?

input [9:0]data_in;
input signed[10:0]data_out;

always@...

data_out<=data_in;

end

直接将data_in赋值给data_out,编译后提示data_in[0]没有驱动任何逻辑,也就是没有用到。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-8-21 20:40:35 | 显示全部楼层
data_out<={1'b0,data_in};
我是这样想的,哈哈,也许是我太天真了

出0入0汤圆

发表于 2010-8-21 21:01:13 | 显示全部楼层
( ⊙ o ⊙ )啊!
告诉你一个多此一举的方法


先将 有符号的装入一个寄存器
假设 Input_Data 是11位

reg [10;0]rData

always @ ( posedge CLK )
   rData <= Input_Data;

再假设 Output_Data 是10位

assign Output_Data = rData[9:0];

======================================

别对我丢鸡蛋 ...

出0入0汤圆

 楼主| 发表于 2010-8-21 21:42:47 | 显示全部楼层
我看了手册上有这种写法:data_out<=$signed(data_in);

我原先的那个错误,仔细看了一下,是因为我没有对输出的端口做实际的引脚分配引起的。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表