搜索
bottom↓
回复: 5

请问基于VHDL的按键消抖问题?

[复制链接]

出0入0汤圆

发表于 2010-8-16 11:52:02 | 显示全部楼层 |阅读模式
消抖程序如下:
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity debounce is
port(
  key_pressed : in std_logic;--key_pressed?
  clk : in std_logic;--clock for synchrony
  key_valid : out std_logic);--key_valid?
end debounce;

architecture behavior of debounce is
begin
  debounce:process(clk,key_pressed)
    variable dbnq : std_logic_vector(5 downto 0);
  begin
    if (key_pressed='1') then
      dbnq:="111111";--unkey_pressed,counter reset at 63
    elsif (clk'event and clk='1') then
        if dbnq/=1 then
          dbnq:=dbnq-1;--key_pressed not enough long time
        end if;        --counter still subtract one
    end if;

    if dbnq=2 then
      key_valid<='1';--key_valid after key_pressed 1/63k second
     else
      key_valid<='0';--key_invalid
    end if;
  end process;
end behavior;
然后我想请问:dbnq/=1这句话是什么意思?特别是/=这个语法没见过,我是初学VHDL,
如果那位高手有空的话,帮忙写一下每一行的具体意思?谢谢了

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-8-16 12:44:52 | 显示全部楼层
不等于?

出0入0汤圆

 楼主| 发表于 2010-8-16 13:32:38 | 显示全部楼层
回复【1楼】lieshi
-----------------------------------------------------------------------

请教高手能否给解释一下这个语法的思想

出0入0汤圆

 楼主| 发表于 2010-8-16 13:50:20 | 显示全部楼层
为什么没人指点呀?

出0入25汤圆

发表于 2011-3-27 15:06:07 | 显示全部楼层
Copy下来,参考,俺也刚开始学

出0入0汤圆

发表于 2011-8-8 23:03:43 | 显示全部楼层
看看
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表