搜索
bottom↓
回复: 1

Verilog 优化代码问题。

[复制链接]

出0入0汤圆

发表于 2010-8-14 09:40:29 | 显示全部楼层 |阅读模式
代码如下
case( EB_A[1:0] )
                        EBCMD_X:
                                begin
                                if( r_cmd_xyhl==XYHL_STA )
                                        begin
                                        r_cmd_x[9:8] = EB_D[1:0];
                                        r_cmd_xyhl = XYHL_END;
                                        end
                                else
                                        begin
                                        r_cmd_x[7:0] = EB_D;
                                        r_cmd_xyhl = XYHL_STA;
                                        r_cmd_addr = r_cmd_x+r_cmd_y*10'd800;
                                        r_rw_addr_sta = CMD_CALC_ADDR;
                                        end
                                end
                        EBCMD_Y:
                                begin
                                if( r_cmd_xyhl==XYHL_STA )
                                        begin
                                        r_cmd_y[9:8] = EB_D[1:0];
                                        r_cmd_xyhl = XYHL_END;
                                        end
                                else
                                        begin
                                        r_cmd_y[7:0] = EB_D;
                                        r_cmd_xyhl = XYHL_STA;
                                        r_cmd_addr = r_cmd_x+r_cmd_y*10'd800;
                                        r_rw_addr_sta = CMD_CALC_ADDR;
                                        end
                                end


代码中使用了2次r_cmd_addr = r_cmd_x+r_cmd_y*10'd800; 会占用2个乘法器资源,能否有好的办法优化呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-8-21 11:53:34 | 显示全部楼层
据说乘以一个常数可以用移位来代替x*800=x<<9+x<<8+x<<5;
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:35

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表