|
代码是这样写的
library ieee;
use ieee.std_logic_1164.all;
entity deepdirect is
port(
A,B :in std_logic;
direct:out bit
);
end deepdirect;
architecture behav of deepdirect is
begin
process(A,B)
begin
if (A 'event and A ='1') then
--wait for 20 ns;
if (B='1') then
direct<='1';
else
direct<='0';
end if;
end if;
end process;
end behav;
输入信号有A,B两路,输出信号为direct。
期望出现的结果是当A超前或滞后B90°的时候分别输出高电平或者低电平。
但是实际测试的结果是输出的direct始终为高。
想不明白,请高手指教!
谢谢! |
阿莫论坛20周年了!感谢大家的支持与爱护!!
你熬了10碗粥,别人一桶水倒进去,淘走90碗,剩下10碗给你,你看似没亏,其实你那10碗已经没有之前的裹腹了,人家的一桶水换90碗,继续卖。说白了,通货膨胀就是,你的钱是挣来的,他的钱是印来的,掺和在一起,你的钱就贬值了。
|