搜索
bottom↓
回复: 74

【讨论帖】“高教社&XILINX杯”2010年各省区大学生电子设计竞赛

[复制链接]

出0入0汤圆

发表于 2010-7-14 13:31:24 | 显示全部楼层 |阅读模式
大家好,我是一名大学生。呵呵刚接到学校通知要准备这个比赛,开始培训了。不知各位有参加的吗?或其他地区已经开过了的。大家来谈下各地的参赛感受呀,希望大家踊跃参与,畅所欲言啊!哈哈!我也会加油的。只是现在一般大学都是用的altera的FPGA和工具,但是貌似组委会要求用xilinx的开发板,看来我要多熟悉下ISE了,呵呵。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-7-14 13:32:48 | 显示全部楼层
哦,对了忘了贴个比赛活动网址 http://www.bjceiec.com/

出0入0汤圆

发表于 2010-7-14 13:42:21 | 显示全部楼层
赛灵思就是个有钱的主。

出0入0汤圆

 楼主| 发表于 2010-7-14 13:56:03 | 显示全部楼层
回复【2楼】tear086 .COM 缺氧
赛灵思就是个有钱的主。
-----------------------------------------------------------------------

哈哈,缺氧以前参加过类似的比赛吗?说下感受呀

出0入0汤圆

发表于 2010-7-14 14:56:28 | 显示全部楼层
LZ可以经常去http://bucteda.eefocus.com/wall/这个论坛逛逛。
我参加过北京市的大学生电子设计竞赛。结果杯具了。。。
ISE的软件bug很多。推荐使用10.1版

出0入0汤圆

 楼主| 发表于 2010-7-15 08:44:30 | 显示全部楼层
回复【4楼】TornadoYu
lz可以经常去http://bucteda.eefocus.com/wall/这个论坛逛逛。
我参加过北京市的大学生电子设计竞赛。结果杯具了。。。
ise的软件bug很多。推荐使用10.1版
-----------------------------------------------------------------------

谢谢!能谈谈你参加的感想吗?我是第一次参加这个比赛,所以对这个比赛不是很了解。希望大家能说说关于这个比赛的一切。再次感谢

出0入0汤圆

发表于 2010-7-15 20:30:56 | 显示全部楼层
看来今年俺的XILINX FPGA电子竞赛套件可以派上用场了,呵呵。

XILINX FPGA电子竞赛套件 (原文件名:XILINX FPGA电子竞赛套件.jpg)

出0入0汤圆

发表于 2010-7-15 20:40:00 | 显示全部楼层
回复【6楼】navalguy
看来今年俺的xilinx fpga电子竞赛套件可以派上用场了,呵呵。


xilinx fpga电子竞赛套件 (原文件名:xilinx fpga电子竞赛套件.jpg)
-----------------------------------------------------------------------

未必,Xilinx赞助的比赛,通常会让参赛的学生使用指定的开发平台
比如5月份刚办完的北京市大学生电子设计竞赛就是这样的

出0入0汤圆

 楼主| 发表于 2010-7-16 09:58:49 | 显示全部楼层
回复【7楼】TeWu T5
回复【6楼】navalguy  
看来今年俺的xilinx fpga电子竞赛套件可以派上用场了,呵呵。  

  
xilinx fpga电子竞赛套件 (原文件名:xilinx fpga电子竞赛套件.jpg)
-----------------------------------------------------------------------
未必,xilinx赞助的比赛,通常会让参赛的学生使用指定的开发平台
比如5月份刚办完的北京市大学生电子设计竞赛就是这样的
-----------------------------------------------------------------------

呵呵,是的。本科组的用的EXCD-1可编程片上系统开发板,专科组用的BAXYS2开发板,都是指定的。我是重庆的,6楼的朋友是哪个地方的呀?

出0入0汤圆

发表于 2010-7-16 13:19:15 | 显示全部楼层
【8楼】 wanwzy

请问重庆的电子市场在哪几个地方,有时间我去看一下。

出0入0汤圆

发表于 2010-7-16 18:47:25 | 显示全部楼层
回复【8楼】wanwzy


俺是山东的,山东今年好象也是XILINX赞助。还必须用指定的开发板吗?这未免有些霸道吧。前年山东的周立功杯都没说指定用周立功的单片机板啊。

出0入0汤圆

发表于 2010-7-16 21:32:28 | 显示全部楼层
回复【10楼】navalguy
回复【8楼】wanwzy  
俺是山东的,山东今年好象也是xilinx赞助。还必须用指定的开发板吗?这未免有些霸道吧。前年山东的周立功杯都没说指定用周立功的单片机板啊。
-----------------------------------------------------------------------

因为Xilinx财大气粗,竞赛组委会哪有能力抗拒,组委会能做的,也就是和赞助商讨价还价,保证竞赛用的开发板别卖得太贵,免得大家玩不起,落得没人捧场的尴尬

出0入0汤圆

发表于 2010-7-16 22:56:30 | 显示全部楼层
回复【9楼】wisebaby 明君
【8楼】 wanwzy
请问重庆的电子市场在哪几个地方,有时间我去看一下。
-----------------------------------------------------------------------

两个地方,解放碑附近--雅兰电子城。中山路上还有一家记不清位置了,特点是有大的人行天桥。

出0入0汤圆

发表于 2010-7-17 01:23:38 | 显示全部楼层
用xilinx赞助的 得分会高些  除非你做的很好

出0入0汤圆

 楼主| 发表于 2010-7-17 18:37:00 | 显示全部楼层
回复【9楼】wisebaby 明君
【8楼】 wanwzy
请问重庆的电子市场在哪几个地方,有时间我去看一下。
-----------------------------------------------------------------------

我只知道主城区重庆电子市场在渝中区两路口的重百大酒店那里(文化宫中门,希尔顿酒店对面),其他的就不知道了,这个比较大。另外就是那个雅兰电子城,我也没去过。不知道2个哪个规模大些呀?哪天去看看

出0入0汤圆

 楼主| 发表于 2010-7-17 18:38:44 | 显示全部楼层
回复【13楼】wind_badman
用xilinx赞助的 得分会高些  除非你做的很好
-----------------------------------------------------------------------

还有这一说?为什么用xilinx赞助的 得分会高些?

出0入0汤圆

 楼主| 发表于 2010-7-17 18:44:36 | 显示全部楼层
回复【11楼】TeWu T5
回复【10楼】navalguy  
回复【8楼】wanwzy   
俺是山东的,山东今年好象也是xilinx赞助。还必须用指定的开发板吗?这未免有些霸道吧。前年山东的周立功杯都没说指定用周立功的单片机板啊。
-----------------------------------------------------------------------
因为xilinx财大气粗,竞赛组委会哪有能力抗拒,组委会能做的,也就是和赞助商讨价还价,保证竞赛用的开发板别卖得太贵,免得大家玩不起,落得没人捧场的尴尬
-----------------------------------------------------------------------

呵呵,我看了看BAXYS2开发板没有明确标价,只有BAXYS开发板的价格59美元。还是挺贵的,感觉没什么性价比

出0入0汤圆

发表于 2010-7-17 19:31:03 | 显示全部楼层
回复【楼主位】wanwzy
-----------------------------------------------------------------------

这是这次比赛指定开发板光盘的资料,希望对大家有用!ourdev_568519.rar(文件大小:76.74M) (原文件名:Xilinx Spartan-6 嵌入式套件开发板光盘.rar)

出0入0汤圆

 楼主| 发表于 2010-7-18 18:53:41 | 显示全部楼层
回复【17楼】leofeng
回复【楼主位】wanwzy  
-----------------------------------------------------------------------
这是这次比赛指定开发板光盘的资料,希望对大家有用! (原文件名:xilinx spartan-6 嵌入式套件开发板光盘.rar)  

-----------------------------------------------------------------------

哇!太感谢你了。我们重庆这面还没开始培训,所以我对这款开发板也不了解。你这真是雪中送碳呀!

出0入0汤圆

发表于 2010-7-22 12:19:26 | 显示全部楼层
楼主有什么资料么?
我也在准备这个比赛...
我是广西的

出0入0汤圆

 楼主| 发表于 2010-7-22 19:08:27 | 显示全部楼层
回复【19楼】cdyy777
楼主有什么资料么?
我也在准备这个比赛...
我是广西的
-----------------------------------------------------------------------

说到资料,还得再次感谢17楼的朋友,他的资料就很全面,现在我正在看,你可以下来看看,很有用。



ps:哈哈,忙着准备比赛,几天没来看了。祝各位参加比赛的朋友都能取得好成绩啊,当然我认为最重要的还是这个过程。过程做好了,其它的都是顺其自然的事。加油罗!

出0入0汤圆

 楼主| 发表于 2010-8-4 09:24:57 | 显示全部楼层
呵呵!又有段时间没来看了,自己顶一个。还是想请各地已比赛完了的大牛能说说自己的参赛感受,比如:比赛的注意事项和经验,需要准备的重要模块,需要熟悉的仪器等等。另外在准备的朋友也可以说说自己做了哪些工作呀!下面我先来开说啊!

集训一周了,感觉他现有的EXCD-1开发板本身的功能块只有最基本的VGA,串口,PS/2,7段数码管(当然它是最小系统板),不知道比赛的时候它会根据题目临时加功能板吗?

希望大家积极参加进来讨论啊!

出0入0汤圆

发表于 2010-8-4 10:24:03 | 显示全部楼层
感觉还没什么进展...
准备打算找近年来的FPGA题来看看...

出0入0汤圆

 楼主| 发表于 2010-8-4 16:59:40 | 显示全部楼层
回复【22楼】cdyy777
感觉还没什么进展...
准备打算找近年来的fpga题来看看...
-----------------------------------------------------------------------

找近年的全国电子设计比赛题?因为“高教社&xilinx杯”貌似是第一届,没有什么参考题目资料,现在手上就只有北京的题目。呵呵,另外也不知道这次比赛是不是全国比赛的选拔赛?有知道的说说呀!或者有参考题目,资料的在阿莫这块宝地贴一下呀。大家一起学习下呀!我先在此谢了啊!

出0入0汤圆

发表于 2010-8-5 11:41:09 | 显示全部楼层
我说的是今年的比赛需要用FPGA的题...
不容易找啊

出0入0汤圆

发表于 2010-8-8 10:50:58 | 显示全部楼层
有今年"高教社&xilinx杯"赛题思路的大家交流一下阿,呵呵

出0入0汤圆

 楼主| 发表于 2010-8-8 11:48:01 | 显示全部楼层
回复【25楼】likaiyi
有今年"高教社&xilinx杯"赛题思路的大家交流一下阿,呵呵
-----------------------------------------------------------------------

呵呵,就是组委会给出的关于赛题资料不多,现在暂时还没什么思路哟!唉!

出0入0汤圆

发表于 2010-8-10 09:42:42 | 显示全部楼层
回复【26楼】wanwzy
回复【25楼】likaiyi  
有今年"高教社&xilinx杯"赛题思路的大家交流一下阿,呵呵
-----------------------------------------------------------------------
呵呵,就是组委会给出的关于赛题资料不多,现在暂时还没什么思路哟!唉!
-----------------------------------------------------------------------

可以把今年北京5月份的乒乓球游戏机题目拿来赛前训练。

要求用指定的FPGA平台结合SOPC技术构造一个乒乓球游戏机。系统结构如果所示,FPGA通过两条I/O信号输出两组脉宽调制波,经过低通滤波器提取脉宽调制的均值电压,输入到双通道示波器中。示波器工作在X/Y模式。示波器屏幕上的光点代表乒乓球。乒乓球X/Y电压的控制下在屏幕上移动。
基本要求:1.构造两组无源低通滤波器,使得FPGA可以用脉宽调制方式控制球的位置(20分)
2.用给定的运放制作一放大器,使光点运动范围在示波器的X轴,Y轴方向上可调(10分)
3.乒乓球可按抛物线自动在屏幕上左右弹射,球的落点为屏幕两侧边的中间。(20分)
扩展要求:1.使用两个按钮分别作为左侧击球钮和右侧击球钮。当乒乓球靠近屏幕左边时按下左侧击球钮,可将球击回右侧。右侧击球钮亦然。(10分)
2.建立成败机制,即球抵达边沿而未按下击球钮,记为击球失败,用LED灯光表示。(10分)
3.建立记分机制,在七段数码管上显示双方得分(10分)
4.特殊功能,在不调整放大器的情况下,连按两下击球钮能击出高抛球,轨迹抛物线曲率变大(20分)

最近我们正在用Microblaze软核做这道题,目前脉宽调制波已经发出来了,两路低通滤波器也做好了。测试了一下,已经可以利用FPGA用脉宽调制方式控制球的位置了。稍后将贴出我们的实验结果。

出0入0汤圆

发表于 2010-8-10 09:59:27 | 显示全部楼层
这还用软核?
……

出0入0汤圆

发表于 2010-8-10 10:54:00 | 显示全部楼层
回复【28楼】hahahagg
这还用软核?
……
-----------------------------------------------------------------------

竞赛要求在“高教社&XILINX杯”竞赛所用的开发板(EXCD-1开发板)上实现。如果用硬件描述语言写状态机实现,对逻辑要求非常高,因此使用51内核或MicoBlaze软核实现,更加简单方便。

这位似乎是个高手,您有什么更好的方法?

出0入0汤圆

 楼主| 发表于 2010-8-10 20:12:10 | 显示全部楼层
回复【27楼】navalguy2
-----------------------------------------------------------------------

嗯,也只有从这道题来看看考点了。因为根据现在给的开发板条件来看,也就只有VGA,RS232,七段数码管,串口这些基本接口,留了4个低速扩展口和一个高速扩展口,不知道比赛时会不会临时给功能板,这样不是又要去临时熟悉功能板?这样来看也不知道还需准备其他什么模块?问题很多呀!

各位高手,大家来说说这些问题呀!也可以把自己想到的问题提出来呀,大家一起讨论下!做足准备啊!

出0入0汤圆

发表于 2010-8-11 12:46:36 | 显示全部楼层
回复【30楼】wanwzy  
-----------------------------------------------------------------------
嗯,也只有从这道题来看看考点了。因为根据现在给的开发板条件来看,也就只有vga,rs232,七段数码管,串口这些基本接口,留了4个低速扩展口和一个高速扩展口,不知道比赛时会不会临时给功能板,这样不是又要去临时熟悉功能板?这样来看也不知道还需准备其他什么模块?问题很多呀!
各位高手,大家来说说这些问题呀!也可以把自己想到的问题提出来呀,大家一起讨论下!做足准备啊!
-----------------------------------------------------------------------

想靠一块开发板就能完成整个竞赛题目功能的可能性不大,应该外面还要扩展一些模块,如A/D、D/A、功放、滤波器电路等。所以,赛前要准备一些这样的模块。

出0入0汤圆

 楼主| 发表于 2010-8-11 18:54:22 | 显示全部楼层
回复【31楼】navalguy2
-----------------------------------------------------------------------

现在也就做了A/D、D/A、功放、滤波器电路这几个电路板都焊出来了,在通过做一些信号发生器进行调试。我们是第一次参赛,对这种比赛的准备还不是很了解,请问你们还做了哪些工作呀?参考下呀!非常感谢!

出0入0汤圆

发表于 2010-8-12 15:35:49 | 显示全部楼层
回复【32楼】wanwzy
回复【31楼】navalguy2  
-----------------------------------------------------------------------
现在也就做了a/d、d/a、功放、滤波器电路这几个电路板都焊出来了,在通过做一些信号发生器进行调试。我们是第一次参赛,对这种比赛的准备还不是很了解,请问你们还做了哪些工作呀?参考下呀!非常感谢!
-----------------------------------------------------------------------

这些模块都是自己做的吗?看来你们的准备也很充分嘛!

出0入0汤圆

 楼主| 发表于 2010-8-12 20:44:10 | 显示全部楼层
回复【33楼】navalguy2
-----------------------------------------------------------------------

嗯,也就做了这4个,不知道还应该做些什么模块或准备些什么,请各位高手指教!

出0入0汤圆

发表于 2010-8-13 10:06:53 | 显示全部楼层
回复【34楼】wanwzy
-----------------------------------------------------------------------

下一步可以多找些竞赛题目训练一下。

出0入0汤圆

发表于 2010-8-13 12:47:23 | 显示全部楼层
回复【35楼】navalguy2
-----------------------------------------------------------------------

我是重庆市的,也是准备参加高教社那个比赛的,现在大二,以前玩过NIOS,现在又弄这个microblaz,时间有点紧,还是有很多问题不知道如何解决,比如:如何把51内核嵌入到工程里使用?等等,学长可不可以加下我的QQ啊,这样我请教会方便些,谢谢学长。我QQ:842157660

出0入0汤圆

 楼主| 发表于 2010-8-13 20:23:08 | 显示全部楼层
回复【35楼】navalguy2
-----------------------------------------------------------------------

呵呵,我们也开始慢慢开始做些信号类的题,顺便调试做好的这些电路,不知道比赛的时候能不能把这些成品电路带过去用,还是现场搭建?

出0入0汤圆

发表于 2010-8-13 21:16:17 | 显示全部楼层
回复【36楼】hclmcu
-----------------------------------------------------------------------

如果使用Miroblaze软核的话,就没有必要再用51内核了。Miroblaze软核性能更高,但开发有一定难度。51内核移植性好,可以当单片机用。各有优缺点。

出0入0汤圆

发表于 2010-8-13 21:22:40 | 显示全部楼层
回复【37楼】wanwzy
回复【35楼】navalguy2  
-----------------------------------------------------------------------
呵呵,我们也开始慢慢开始做些信号类的题,顺便调试做好的这些电路,不知道比赛的时候能不能把这些成品电路带过去用,还是现场搭建?
-----------------------------------------------------------------------

这样有计划的训练挺好的。能不能用成品电路要看具体比赛的题目要求了。

出0入0汤圆

发表于 2010-8-14 08:47:22 | 显示全部楼层
回复【39楼】navalguy2
-----------------------------------------------------------------------
navalguy2学长,我是重庆市的,也是准备参加高教社那个比赛的,现在大二,以前玩过NIOS,现在又弄这个microblaz,时间有点紧,还是有很多问题不知道如何解决,比如:如何把51内核嵌入到工程里使用?等等,学长可不可以加下我的QQ啊,这样我请教会方便些,谢谢学长。我QQ:842157660

出0入0汤圆

发表于 2010-8-14 13:13:22 | 显示全部楼层
回复【40楼】hclmcu
-----------------------------------------------------------------------

欢迎技术交流!QQ:48217874

出0入0汤圆

发表于 2010-8-15 14:01:34 | 显示全部楼层
请教各位大虾,xilinx中XPS中的AD/DA的ip核如何使用呀??小弟以前没有用过,手上也没有什么资料,请大虾们指教,谢谢~

出0入0汤圆

 楼主| 发表于 2010-8-15 14:36:32 | 显示全部楼层
xilinx在国内本身就少,关于IP核的资料个人推荐看自带的datasheet,这应该是最全面的资料。

出0入0汤圆

发表于 2010-8-15 20:47:33 | 显示全部楼层
回复【43楼】wanwzy
-----------------------------------------------------------------------

看了,但是它只是整体的介绍了ip核,但是自己写起来还是遇到了不少困难~~~希望有写过的大虾,传我一份Microblaze使用DA、AD ip核的C文件吧~

出0入0汤圆

发表于 2010-8-15 23:37:37 | 显示全部楼层
黑龙江的题出来了,有一题是用提供的板子做,不过与北京赛题大相径庭啊

出0入0汤圆

发表于 2010-8-16 18:58:10 | 显示全部楼层
黑龙江的题跟北京的题完全2种方向...

出0入0汤圆

 楼主| 发表于 2010-8-16 21:01:25 | 显示全部楼层
呵呵,最让人吃惊的是北京大多数地方都是比一天,而黑龙江是2周。完全无语了!我都开始怀疑是不是同一个比赛,同一个赞助商!

出0入0汤圆

发表于 2010-8-18 16:13:43 | 显示全部楼层
请教各位大侠,我自己用xps建立好的ip核了,现在想要ise里调用我的这个ip核,我这样操作对吗?请大虾多多指教:project->new source->embedded prosessor请问如果是这样操作的话(如果不是这样操作,请大虾多多指教),那下面该如何继续操作呢?此时为什么会自动打开xps呢,我不需要再次创建新的ip 核了,因为我已经用xps创建好了,我只想把它加到ise的工程里去用。
请教各位大虾,我该如何操作??
学生在此谢过了~~

出0入0汤圆

发表于 2010-8-18 16:50:45 | 显示全部楼层
我也正在参加培训呢

出0入0汤圆

发表于 2010-8-25 23:10:47 | 显示全部楼层
回复【10楼】navalguy
-----------------------------------------------------------------------

你好,我是吉林的,今年也要用这个FPGA板,我想请教你一些问题,你能加我QQ吗,我的是471134632

出0入0汤圆

发表于 2010-8-30 09:30:51 | 显示全部楼层
有参加过北京市“高教社&XILINX杯”竞赛的吗?有谁知道当时北京市比赛的元件清单啊?

出0入0汤圆

发表于 2010-8-31 16:52:26 | 显示全部楼层
回复【32楼】wanwzy
-----------------------------------------------------------------------

请问您们的AD和DA是用了哪些元器件焊的啊?能否把元器件和电路图发给我呢?谢谢了~~QQ:843762307

出0入0汤圆

 楼主| 发表于 2010-8-31 23:02:12 | 显示全部楼层
回复【52楼】shanshan
-----------------------------------------------------------------------

那要看你要低速的还是高速的,我现在用的是低速的,AD7524和ADC0809,下面是两个的芯片的资料。至于电路需要根据实际情况进行搭建,这方面的资料网上也有很多。随便百度下“ADC应用电路”之类的就有很多,可以参考下。

点击此处下载 ourdev_579216.pdf(文件大小:158K) (原文件名:AD7524.pdf)
点击此处下载 ourdev_579217.doc(文件大小:148K) (原文件名:ADC0809.doc)

出0入0汤圆

发表于 2010-9-1 21:18:25 | 显示全部楼层
回复【53楼】wanwzy
-----------------------------------------------------------------------

谢谢喽~~

出0入0汤圆

发表于 2010-9-1 22:46:15 | 显示全部楼层
即将开战!

出0入0汤圆

 楼主| 发表于 2010-9-2 07:36:59 | 显示全部楼层
呵呵,我们重庆赛区已发比赛最新通知了。

点击此处下载 ourdev_579559.doc(文件大小:27K) (原文件名:2010电子设计竞赛安排通知.doc)

忙活了这么久,为了自己心中的那个目标,大家一起加油啦!!!!!!fighting!!!!!!

出0入0汤圆

发表于 2010-9-3 20:50:10 | 显示全部楼层
回复【56楼】wanwzy
-----------------------------------------------------------------------

明天就是4号了 ,我是天津的,我们是11月份比赛,比赛时间也是一天,等你们比完好不好把题目说一下,联系一下,谢谢

出0入0汤圆

发表于 2010-9-3 20:51:49 | 显示全部楼层
回复【56楼】wanwzy
-----------------------------------------------------------------------

是练习一下 呵呵。。。

出0入0汤圆

发表于 2010-9-3 20:52:52 | 显示全部楼层
回复【56楼】wanwzy
-----------------------------------------------------------------------

是练习一下 呵呵。。。

出0入0汤圆

 楼主| 发表于 2010-9-6 14:16:33 | 显示全部楼层
昨天重庆这面刚赛完,我们队表现一般得了2等奖。下面把重庆这面的题目发上来给其他赛区的做下参考吧!(其实现在想想这题和北京的很类似,所以推荐其他赛区还没比的好好把这题与北京的题做下对比,很有用!)
一、任务:用EXCD-1可编程片上系统开发板设计制作一个DDS低频信号源。

(原文件名:1283607824_18bc33a3.jpg)

二、基本要求
1.       信号发生器频率范围:10Hz ~ 10KHz;频率间隔为10Hz;(20分)
2.       信号发生器输出幅度:  > 1V;(15分)
3.       用示波器显示,可用示波器本身扫描;(15分)
三、发挥部分
1.       信号发生器频率范围 1Hz~1MHz;(10分)
2.       输出电压幅度要求在50Ω上输出2V;(15分)
3.       不调示波器的扫描自动显示波形;(15分)
4.       波形失真小于2%;(10分)

出0入0汤圆

发表于 2010-9-6 14:56:39 | 显示全部楼层
回复【60楼】wanwzy
-----------------------------------------------------------------------

您好,能否把你们做的资料共享一下呢?谢谢了~~好人呐!!

出0入0汤圆

 楼主| 发表于 2010-9-6 20:22:40 | 显示全部楼层
呵呵,我们做的只实现了基本部分就不在这里献丑了,希望做得比较好的大牛能分享下,大家一起学习学习!

出0入0汤圆

 楼主| 发表于 2010-9-7 08:41:43 | 显示全部楼层
在这里我简单说下我们的设计思路吧,请高手指教啊!要实现PWM调制,我们的方法是:采用SPWM法中的等面积法(具体原理可以百度下),用开发板的50M原时钟作为基准时钟来计算各脉冲的宽度和间隔实现等幅而不等宽的矩形脉冲序列代替正弦波(这里后面我想了下50M貌似高了点,分频后再作为基准时钟可能要好点),所以我们从FPGA出来的是等幅而不等宽的矩形脉冲序列。然后通过二阶低通相当于作积分运算,再经过放大出来的就变成正弦波了。

出0入0汤圆

发表于 2010-9-7 18:38:04 | 显示全部楼层
回复【63楼】wanwzy
-----------------------------------------------------------------------

谢谢分享~~
现在我们也有这个思路,可是这个题目中并没有说是正弦波,至于 信号发生器频率范围,信号发生器输出幅度,波形失真,输出电压幅度要求在50Ω上输出2V,等这些指标是怎么做的呢?您就把资料共享一下吧~~谢谢了

出0入0汤圆

发表于 2010-9-7 18:38:36 | 显示全部楼层
回复【63楼】wanwzy
-----------------------------------------------------------------------

回复【63楼】wanwzy
-----------------------------------------------------------------------

谢谢分享~~
现在我们也有这个思路,可是这个题目中并没有说是正弦波,至于 信号发生器频率范围,信号发生器输出幅度,波形失真,输出电压幅度要求在50Ω上输出2V,等这些指标是怎么做的呢?您就把资料共享一下吧~~谢谢了  
 

出0入0汤圆

发表于 2010-9-13 21:05:16 | 显示全部楼层
回复【60楼】wanwzy
-----------------------------------------------------------------------

您好!!可以将您做的给我们看一看吗?我是山东的,快参加比赛了,程序还没有完全调好!谢谢您了!

出0入0汤圆

发表于 2010-9-14 23:00:04 | 显示全部楼层
回复【68楼】dongzhiming
-----------------------------------------------------------------------

请问你们最近在准备什么呢?山东的题目好像还没出来哎

出0入0汤圆

发表于 2010-9-15 08:19:49 | 显示全部楼层
回复【71楼】shanshan
-----------------------------------------------------------------------

山东的题快了,没几天就该比赛了

出0入0汤圆

发表于 2010-9-16 19:53:37 | 显示全部楼层
湖北的早比完了,ALTERA的

出0入0汤圆

发表于 2010-10-2 14:54:35 | 显示全部楼层
湖北的是什么题目啊回复【73楼】lgcHR
-----------------------------------------------------------------------

湖北的是什么题目啊
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表